Index of /pub/Linux/dist/alpine/edge/testing/armv7
Name
Last modified
Size
Description
Parent Directory
-
zydis-doc-4.1.0-r0.apk
2024-10-25 21:09
1.6M
zydis-dev-4.1.0-r0.apk
2024-10-25 21:09
61K
zydis-4.1.0-r0.apk
2024-10-25 21:09
203K
zycore-doc-1.5.0-r0.apk
2024-10-25 21:09
394K
zycore-dev-1.5.0-r0.apk
2024-10-25 21:09
38K
zycore-1.5.0-r0.apk
2024-10-25 21:09
18K
zvbi-doc-0.2.43-r0.apk
2024-12-07 21:32
21K
zvbi-0.2.43-r0.apk
2024-12-07 21:32
166K
zutty-doc-0.16-r0.apk
2025-01-12 22:01
67K
zutty-0.16-r0.apk
2025-01-12 22:01
140K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 21:09
2.8K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 21:09
670K
zsh-fzf-tab-0_git20220331-r1.apk
2024-10-25 21:09
16K
zrepl-zsh-completion-0.6.1-r8.apk
2025-02-10 04:38
1.9K
zrepl-openrc-0.6.1-r8.apk
2025-02-10 04:38
1.7K
zrepl-bash-completion-0.6.1-r8.apk
2025-02-10 04:38
4.4K
zrepl-0.6.1-r8.apk
2025-02-10 04:38
6.3M
znc-push-0_git20220823-r8.apk
2024-10-25 21:09
81K
znc-playback-0_git20210503-r8.apk
2024-10-25 21:09
17K
znc-clientbuffer-1.0.48-r8.apk
2024-10-25 21:09
16K
znc-backlog-0_git20210503-r8.apk
2024-10-25 21:09
19K
zita-resampler-doc-1.10.1-r0.apk
2024-10-25 21:09
4.1K
zita-resampler-dev-1.10.1-r0.apk
2024-10-25 21:09
3.4K
zita-resampler-1.10.1-r0.apk
2024-10-25 21:09
16K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 21:09
5.2K
zita-njbridge-0.4.8-r1.apk
2024-10-25 21:09
24K
zile-doc-2.6.2-r1.apk
2024-10-25 21:09
16K
zile-2.6.2-r1.apk
2024-10-25 21:09
101K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 21:09
16K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 21:09
128K
zfs-src-2.2.4-r1.apk
2025-01-09 12:46
32M
zarchive-libs-0.1.2-r2.apk
2024-10-25 21:09
20K
zarchive-dev-0.1.2-r2.apk
2024-10-25 21:09
6.7K
zarchive-0.1.2-r2.apk
2024-10-25 21:09
14K
zapret-openrc-0.0.0_git20220125-r1.apk
2024-10-25 21:09
2.1K
zapret-doc-0.0.0_git20220125-r1.apk
2024-10-25 21:09
98K
zapret-0.0.0_git20220125-r1.apk
2024-10-25 21:09
71K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 21:09
19M
z-doc-1.12-r0.apk
2024-10-25 21:09
3.9K
z-1.12-r0.apk
2024-10-25 21:09
4.6K
yubikey-agent-0.1.6-r9.apk
2025-02-10 04:38
1.7M
ytmdl-zsh-completion-2024.08.15.1-r0.apk
2024-10-25 21:09
2.1K
ytmdl-pyc-2024.08.15.1-r0.apk
2024-10-25 21:09
78K
ytmdl-bash-completion-2024.08.15.1-r0.apk
2024-10-25 21:09
2.2K
ytmdl-2024.08.15.1-r0.apk
2024-10-25 21:09
50K
youtube-viewer-gtk-3.11.1-r0.apk
2024-10-25 21:09
171K
youtube-viewer-doc-3.11.1-r0.apk
2024-10-25 21:09
41K
youtube-viewer-3.11.1-r0.apk
2024-10-25 21:09
83K
youtube-tui-0.8.0-r0.apk
2024-10-25 21:09
1.2M
yosys-dev-0.42-r0.apk
2024-10-25 21:09
119K
yosys-0.42-r0.apk
2024-10-25 21:09
16M
yoshimi-doc-2.3.2-r0.apk
2024-10-25 21:09
4.5M
yoshimi-2.3.2-r0.apk
2024-10-25 21:09
5.5M
yodl-doc-4.02.00-r1.apk
2024-10-25 21:09
59K
yodl-4.02.00-r1.apk
2024-10-25 21:09
124K
yices2-libs-2.6.4-r0.apk
2024-10-25 21:09
674K
yices2-dev-2.6.4-r0.apk
2024-10-25 21:09
41K
yices2-2.6.4-r0.apk
2024-10-25 21:09
1.8M
yeti-1.1-r0.apk
2024-10-25 21:09
816K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 21:09
2.2K
ydcv-pyc-0.7-r8.apk
2024-10-25 21:09
11K
ydcv-0.7-r8.apk
2024-10-25 21:09
20K
yazi-zsh-completion-25.2.11-r0.apk
2025-02-11 23:03
2.1K
yazi-fish-completion-25.2.11-r0.apk
2025-02-11 23:03
1.8K
yazi-doc-25.2.11-r0.apk
2025-02-11 23:03
2.2K
yazi-cli-25.2.11-r0.apk
2025-02-11 23:03
924K
yazi-bash-completion-25.2.11-r0.apk
2025-02-11 23:03
2.0K
yazi-25.2.11-r0.apk
2025-02-11 23:03
4.2M
yaru-theme-viridian-23.10.0-r1.apk
2024-12-01 03:28
760K
yaru-theme-sage-23.10.0-r1.apk
2024-12-01 03:28
762K
yaru-theme-red-23.10.0-r1.apk
2024-12-01 03:28
760K
yaru-theme-purple-23.10.0-r1.apk
2024-12-01 03:28
756K
yaru-theme-prussiangreen-23.10.0-r1.apk
2024-12-01 03:28
760K
yaru-theme-olive-23.10.0-r1.apk
2024-12-01 03:28
759K
yaru-theme-mate-23.10.0-r1.apk
2024-12-01 03:28
727K
yaru-theme-magenta-23.10.0-r1.apk
2024-12-01 03:28
761K
yaru-theme-hdpi-23.10.0-r1.apk
2024-12-01 03:28
73K
yaru-theme-blue-23.10.0-r1.apk
2024-12-01 03:28
765K
yaru-theme-bark-23.10.0-r1.apk
2024-12-01 03:28
763K
yaru-theme-23.10.0-r1.apk
2024-12-01 03:28
840K
yaru-sounds-23.10.0-r1.apk
2024-12-01 03:28
676K
yaru-shell-23.10.0-r1.apk
2024-12-01 03:28
735K
yaru-schemas-23.10.0-r1.apk
2024-12-01 03:28
1.8K
yaru-icon-theme-viridian-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-sage-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-red-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-purple-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-prussiangreen-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-olive-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-mate-23.10.0-r1.apk
2024-12-01 03:28
1.2M
yaru-icon-theme-magenta-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-blue-23.10.0-r1.apk
2024-12-01 03:28
1.1M
yaru-icon-theme-bark-23.10.0-r1.apk
2024-12-01 03:28
1.0M
yaru-icon-theme-23.10.0-r1.apk
2024-12-01 03:28
35M
yaru-common-23.10.0-r1.apk
2024-12-01 03:28
4.5K
yarn-berry-4.6.0-r0.apk
2025-01-07 08:02
970K
yamlfmt-doc-0.15.0-r2.apk
2025-02-10 04:38
5.5K
yamlfmt-0.15.0-r2.apk
2025-02-10 04:38
1.5M
yamkix-pyc-0.10.0-r1.apk
2024-10-25 21:09
12K
yamkix-0.10.0-r1.apk
2024-10-25 21:09
14K
xwaylandvideobridge-lang-0.4.0-r1.apk
2024-10-25 21:09
11K
xwaylandvideobridge-0.4.0-r1.apk
2024-10-25 21:09
43K
xwayland-satellite-0.5-r0.apk
2025-01-25 08:04
740K
xvkbd-doc-4.1-r2.apk
2024-10-25 21:09
11K
xvkbd-4.1-r2.apk
2024-10-25 21:09
291K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 21:09
4.2K
xvidtune-1.0.4-r0.apk
2024-10-25 21:09
15K
xva-img-1.5-r0.apk
2024-10-25 21:09
15K
xtl-0.7.7-r0.apk
2024-10-25 21:09
111K
xtensor-0.25.0-r0.apk
2025-01-15 03:50
267K
xsoldier-doc-1.8-r2.apk
2024-10-25 21:09
2.6K
xsoldier-1.8-r2.apk
2024-10-25 21:09
65K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 21:09
18K
xsecurelock-1.9.0-r1.apk
2024-10-25 21:09
58K
xsane-lang-0.999-r2.apk
2024-10-25 21:09
440K
xsane-doc-0.999-r2.apk
2024-10-25 21:09
4.3K
xsane-0.999-r2.apk
2024-10-25 21:09
1.5M
xosview-doc-1.24-r0.apk
2024-10-25 21:09
12K
xosview-1.24-r0.apk
2024-10-25 21:09
105K
xonsh-pyc-0.19.1-r0.apk
2025-02-05 23:11
1.0M
xonsh-0.19.1-r0.apk
2025-02-05 23:11
585K
xone-src-0.3_git20230517-r0.apk
2024-10-25 21:09
43K
xmppipe-0.16.0-r1.apk
2024-10-25 21:09
15K
xmpp-dns-0.2.4-r21.apk
2025-02-10 04:38
1.7M
xmp-doc-4.2.0-r0.apk
2024-10-25 21:09
5.3K
xmp-4.2.0-r0.apk
2024-10-25 21:09
20K
xml2rfc-pyc-3.25.0-r0.apk
2024-12-12 20:32
404K
xml2rfc-3.25.0-r0.apk
2024-12-12 20:32
350K
xmag-doc-1.0.8-r0.apk
2024-10-25 21:09
4.7K
xmag-1.0.8-r0.apk
2024-10-25 21:09
15K
xload-doc-1.1.4-r0.apk
2024-10-25 21:09
3.2K
xload-1.1.4-r0.apk
2024-10-25 21:09
6.0K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 21:09
2.5K
xlhtml-0.5.1-r0.apk
2024-10-25 21:09
9.8K
xkb-switch-doc-1.8.5-r0.apk
2024-10-25 21:09
1.9K
xkb-switch-1.8.5-r0.apk
2024-10-25 21:09
16K
xisxwayland-doc-2-r1.apk
2024-10-25 21:09
2.0K
xisxwayland-2-r1.apk
2024-10-25 21:09
3.8K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 21:09
3.3K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 21:09
14K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 21:09
2.5K
xgalaga-2.1.1.0-r1.apk
2024-10-25 21:09
269K
xfe-xfw-1.46.2-r0.apk
2024-10-25 21:09
259K
xfe-xfp-1.46.2-r0.apk
2024-10-25 21:09
222K
xfe-xfi-1.46.2-r0.apk
2024-10-25 21:09
245K
xfe-lang-1.46.2-r0.apk
2024-10-25 21:09
534K
xfe-doc-1.46.2-r0.apk
2024-10-25 21:09
4.0K
xfe-1.46.2-r0.apk
2024-10-25 21:09
1.3M
xfd-doc-1.1.4-r0.apk
2024-10-25 21:09
4.9K
xfd-1.1.4-r0.apk
2024-10-25 21:09
12K
xfce4-panel-profiles-lang-1.0.14-r1.apk
2024-10-25 21:09
44K
xfce4-panel-profiles-doc-1.0.14-r1.apk
2024-10-25 21:09
19K
xfce4-panel-profiles-1.0.14-r1.apk
2024-10-25 21:09
57K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 21:09
59K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 21:09
2.5K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 21:09
78K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 21:09
5.1K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 21:09
30K
xendmail-doc-0.4.3-r0.apk
2024-10-25 21:09
2.5K
xendmail-0.4.3-r0.apk
2024-10-25 21:09
779K
xed-python-3.8.2-r0.apk
2025-02-05 23:11
24K
xed-lang-3.8.2-r0.apk
2025-02-05 23:11
2.1M
xed-doc-3.8.2-r0.apk
2025-02-05 23:11
971K
xed-dev-3.8.2-r0.apk
2025-02-05 23:11
14K
xed-3.8.2-r0.apk
2025-02-05 23:11
1.0M
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 21:09
70K
xcompmgr-doc-1.1.9-r0.apk
2024-10-25 21:09
2.6K
xcompmgr-1.1.9-r0.apk
2024-10-25 21:09
14K
xcape-doc-1.2-r0.apk
2024-10-25 21:09
2.8K
xcape-1.2-r0.apk
2024-10-25 21:09
5.9K
xandikos-pyc-0.2.12-r2.apk
2025-02-22 13:19
157K
xandikos-openrc-0.2.12-r2.apk
2025-02-22 13:19
2.1K
xandikos-doc-0.2.12-r2.apk
2025-02-22 13:19
2.4K
xandikos-0.2.12-r2.apk
2025-02-22 13:19
80K
xa-doc-2.3.14-r0.apk
2024-10-25 21:09
15K
xa-2.3.14-r0.apk
2024-10-25 21:09
46K
x11docker-doc-7.6.0-r1.apk
2024-10-25 21:09
9.4K
x11docker-7.6.0-r1.apk
2024-10-25 21:09
113K
wtfutil-0.43.0-r10.apk
2025-02-10 04:38
19M
wsmancli-doc-2.6.2-r0.apk
2024-10-25 21:09
3.7K
wsmancli-2.6.2-r0.apk
2024-10-25 21:09
19K
wshowkeys-1.0-r0.apk
2024-10-25 21:09
12K
wroomd-openrc-0.1.0-r0.apk
2024-10-25 21:09
1.7K
wroomd-0.1.0-r0.apk
2024-10-25 21:09
898K
wput-doc-0.6.2-r4.apk
2024-10-25 21:09
8.2K
wput-0.6.2-r4.apk
2024-10-25 21:09
35K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 21:09
2.2K
wpa_actiond-1.4-r7.apk
2024-10-25 21:09
8.7K
wordgrinder-doc-0.8-r2.apk
2024-10-25 21:09
18K
wordgrinder-0.8-r2.apk
2024-10-25 21:09
425K
wolfssh-dev-1.4.17-r0.apk
2024-10-25 21:09
162K
wolfssh-1.4.17-r0.apk
2024-10-25 21:09
120K
wol-lang-0.7.1-r3.apk
2024-10-25 21:09
8.2K
wol-doc-0.7.1-r3.apk
2024-10-25 21:09
5.5K
wol-0.7.1-r3.apk
2024-10-25 21:09
22K
wok-pyc-3.0.0-r6.apk
2024-10-25 21:09
119K
wok-lang-3.0.0-r6.apk
2024-10-25 21:09
16K
wok-doc-3.0.0-r6.apk
2024-10-25 21:09
3.7K
wok-3.0.0-r6.apk
2024-10-25 21:09
157K
wmi-client-1.3.16-r5.apk
2024-10-25 21:09
2.1M
wmctrl-doc-1.07-r1.apk
2024-10-25 21:09
5.1K
wmctrl-1.07-r1.apk
2024-10-25 21:09
13K
wlvncc-0.0.0_git20241130-r0.apk
2024-12-17 21:02
66K
wlroots0.17-dev-0.17.4-r1.apk
2024-11-24 06:46
77K
wlroots0.17-dbg-0.17.4-r1.apk
2024-11-24 06:46
1.5M
wlroots0.17-0.17.4-r1.apk
2024-11-24 06:46
326K
wlroots0.16-dev-0.16.2-r0.apk
2024-10-28 14:47
71K
wlroots0.16-dbg-0.16.2-r0.apk
2024-10-28 14:47
1.3M
wlroots0.16-0.16.2-r0.apk
2024-10-28 14:47
295K
wlroots0.15-dev-0.15.1-r6.apk
2024-10-25 21:09
70K
wlroots0.15-dbg-0.15.1-r6.apk
2024-10-25 21:09
1.2M
wlroots0.15-0.15.1-r6.apk
2024-10-25 21:09
244K
wlroots0.12-dev-0.12.0-r1.apk
2024-10-25 21:09
61K
wlroots0.12-dbg-0.12.0-r1.apk
2024-10-25 21:09
1.0M
wlroots0.12-0.12.0-r1.apk
2024-10-25 21:09
195K
wlrobs-1.0-r4.apk
2024-10-25 21:09
17K
wlopm-doc-0.1.0-r0.apk
2024-10-25 21:09
2.0K
wlopm-0.1.0-r0.apk
2024-10-25 21:09
5.7K
wlclock-doc-1.0.1-r0.apk
2024-10-25 21:09
3.3K
wlclock-1.0.1-r0.apk
2024-10-25 21:09
14K
wlavu-0_git20201101-r1.apk
2024-10-25 21:09
11K
wl-gammarelay-0.1.1-r11.apk
2025-02-10 04:38
1.5M
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 21:09
2.9K
wl-clipboard-x11-5-r3.apk
2024-10-25 21:09
3.4K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 21:09
2.1K
wk-adblock-0.0.4-r5.apk
2024-10-25 21:09
146K
witchery-0.0.3-r2.apk
2024-10-25 21:09
3.2K
wiringx-dev-0_git20240317-r1.apk
2024-10-25 21:09
66K
wiringx-0_git20240317-r1.apk
2024-10-25 21:09
48K
wiremapper-0.10.0-r0.apk
2024-10-25 21:09
22K
wireguard-go-doc-0.0.20230223-r9.apk
2025-02-10 04:38
3.5K
wireguard-go-0.0.20230223-r9.apk
2025-02-10 04:38
1.1M
wiki-tui-doc-0.8.2-r1.apk
2024-10-25 21:09
4.6K
wiki-tui-0.8.2-r1.apk
2024-10-25 21:09
1.4M
whatsie-doc-4.16.3-r0.apk
2025-01-12 14:00
2.2K
whatsie-4.16.3-r0.apk
2025-01-12 14:00
15M
wgcf-zsh-completion-2.2.24-r2.apk
2025-02-10 04:38
4.0K
wgcf-fish-completion-2.2.24-r2.apk
2025-02-10 04:38
4.3K
wgcf-bash-completion-2.2.24-r2.apk
2025-02-10 04:38
5.0K
wgcf-2.2.24-r2.apk
2025-02-10 04:38
3.7M
wf-shell-doc-0.8.1-r0.apk
2024-10-25 21:09
2.2K
wf-shell-dev-0.8.1-r0.apk
2024-10-25 21:09
1.7K
wf-shell-0.8.1-r0.apk
2024-10-25 21:09
6.0M
wf-config-dev-0.8.0-r1.apk
2024-10-25 21:09
16K
wf-config-0.8.0-r1.apk
2024-10-25 21:09
90K
welle-io-doc-2.6-r0.apk
2024-12-22 00:38
4.0K
welle-io-2.6-r0.apk
2024-12-22 00:38
371K
welle-cli-2.6-r0.apk
2024-12-22 00:38
278K
weggli-0.2.4-r1.apk
2024-10-25 21:09
747K
webhookd-openrc-1.20.1-r1.apk
2025-02-10 04:38
2.2K
webhookd-doc-1.20.1-r1.apk
2025-02-10 04:38
2.2K
webhookd-1.20.1-r1.apk
2025-02-10 04:38
2.9M
wcm-0.8.0-r0.apk
2024-10-25 21:09
344K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 21:09
1.6K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 21:09
2.7K
wch-isp-0.4.1-r2.apk
2024-10-25 21:09
9.8K
wbg-1.2.0-r0.apk
2024-10-25 21:09
34K
wayqt-dev-0.2.0-r0.apk
2024-10-25 21:09
18K
wayqt-0.2.0-r0.apk
2024-10-25 21:09
89K
waynergy-0.0.17-r0.apk
2024-10-25 21:09
42K
waylevel-1.0.0-r1.apk
2024-10-25 21:09
260K
wayfire-plugins-extra-0.8.1-r1.apk
2024-10-25 21:09
508K
wayfire-doc-0.8.1-r1.apk
2024-10-25 21:09
2.7K
wayfire-dev-0.8.1-r1.apk
2024-10-25 21:09
129K
wayfire-0.8.1-r1.apk
2024-10-25 21:09
2.1M
waycheck-1.5.0-r0.apk
2024-12-22 00:38
39K
way-displays-doc-1.8.1-r2.apk
2024-10-25 21:09
4.1K
way-displays-1.8.1-r2.apk
2024-10-25 21:09
66K
watershot-0.2.0-r0.apk
2024-10-25 21:09
1.4M
watchmate-0.5.2-r0.apk
2024-10-25 21:09
2.0M
watchdog-doc-5.16-r2.apk
2024-10-25 21:09
14K
watchdog-5.16-r2.apk
2024-10-25 21:09
38K
watchbind-doc-0.2.1-r1.apk
2024-10-25 21:09
6.6K
watchbind-0.2.1-r1.apk
2024-10-25 21:09
1.0M
wasm-tools-doc-1.223.0-r0.apk
2025-01-11 23:12
7.1K
wasm-tools-1.223.0-r0.apk
2025-01-11 23:12
2.7M
wasm-micro-runtime-2.2.0-r0.apk
2024-12-05 17:11
1.2K
warpinator-nemo-1.8.8-r0.apk
2025-02-05 23:11
4.1K
warpinator-lang-1.8.8-r0.apk
2025-02-05 23:11
222K
warpinator-1.8.8-r0.apk
2025-02-05 23:11
216K
wamrc-2.2.0-r0.apk
2024-12-05 17:11
420K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 21:09
7.8K
walk-sor-0_git20190920-r1.apk
2024-10-25 21:09
5.0K
walk-1.10.0-r2.apk
2025-02-10 04:38
1.3M
wakeonlan-doc-0.42-r0.apk
2024-10-25 21:09
7.5K
wakeonlan-0.42-r0.apk
2024-10-25 21:09
4.5K
waifu2x-converter-cpp-5.3.4-r8.apk
2025-01-16 23:06
12M
wabt-doc-1.0.36-r0.apk
2024-10-25 21:09
14K
wabt-1.0.36-r0.apk
2024-10-25 21:09
3.5M
w_scan2-doc-1.0.16-r0.apk
2025-02-22 13:19
4.1K
w_scan2-1.0.16-r0.apk
2025-02-22 13:19
134K
vym-doc-2.9.26-r0.apk
2024-10-25 21:09
3.4M
vym-2.9.26-r0.apk
2024-10-25 21:09
2.7M
vtable-dumper-1.2-r0.apk
2025-01-25 08:04
5.8K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 21:09
3.8K
volumeicon-0.5.1-r1.apk
2024-10-25 21:09
40K
voikko-fi-2.5-r0.apk
2024-10-25 21:09
1.6M
vmtouch-doc-1.3.1-r0.apk
2024-10-25 21:09
8.0K
vmtouch-1.3.1-r0.apk
2024-10-25 21:09
12K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 21:09
3.1K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 21:09
344K
vixl-dev-7.0.0-r0.apk
2024-10-25 21:09
115K
vixl-7.0.0-r0.apk
2024-10-25 21:09
290K
vit-pyc-2.3.2-r1.apk
2024-10-25 21:09
151K
vit-2.3.2-r1.apk
2024-10-25 21:09
80K
visidata-zsh-completion-2.11.1-r2.apk
2024-10-25 21:09
7.2K
visidata-pyc-2.11.1-r2.apk
2024-10-25 21:09
497K
visidata-doc-2.11.1-r2.apk
2024-10-25 21:09
16K
visidata-2.11.1-r2.apk
2024-10-25 21:09
248K
virtme-ng-bash-completion-1.32-r1.apk
2025-01-25 16:54
2.6K
virtme-ng-1.32-r1.apk
2025-01-25 16:54
195K
virter-zsh-completion-0.28.1-r2.apk
2025-02-10 04:38
4.0K
virter-fish-completion-0.28.1-r2.apk
2025-02-10 04:38
4.3K
virter-doc-0.28.1-r2.apk
2025-02-10 04:38
15K
virter-bash-completion-0.28.1-r2.apk
2025-02-10 04:38
5.0K
virter-0.28.1-r2.apk
2025-02-10 04:38
5.4M
virtctl-zsh-completion-1.4.0-r2.apk
2025-02-10 04:38
4.0K
virtctl-fish-completion-1.4.0-r2.apk
2025-02-10 04:38
4.3K
virtctl-bash-completion-1.4.0-r2.apk
2025-02-10 04:38
5.1K
virtctl-1.4.0-r2.apk
2025-02-10 04:38
15M
vim-rust-305-r0.apk
2024-10-25 21:09
20K
vim-airline-doc-0.11-r0.apk
2024-10-25 21:09
12K
vim-airline-0.11-r0.apk
2024-10-25 21:09
86K
vile-doc-9.8z-r0.apk
2024-10-25 21:09
15K
vile-9.8z-r0.apk
2024-10-25 21:09
1.3M
viewnior-lang-1.8-r1.apk
2024-10-25 21:09
85K
viewnior-doc-1.8-r1.apk
2024-10-25 21:09
2.1K
viewnior-1.8-r1.apk
2024-10-25 21:09
67K
video-trimmer-lang-0.9.0-r0.apk
2024-10-25 21:09
91K
video-trimmer-0.9.0-r0.apk
2024-10-25 21:09
711K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 21:09
1.9M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 21:09
24K
vidcutter-6.0.5.3-r0.apk
2024-10-25 21:09
2.8M
vice-doc-3.8-r0.apk
2024-10-25 21:09
2.2M
vice-3.8-r0.apk
2024-10-25 21:09
11M
vfd-configurations-0_git20230612-r0.apk
2024-10-25 21:09
25K
vera++-1.3.0-r10.apk
2024-10-25 21:09
232K
venc-pyc-3.2.5-r0.apk
2025-02-04 22:47
127K
venc-3.2.5-r0.apk
2025-02-04 22:47
248K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 21:09
2.3K
vectoroids-1.1.0-r2.apk
2024-10-25 21:09
281K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 21:09
1.7K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 21:09
1.6K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 21:09
58K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 21:09
1.8K
vcstool-0.3.0-r5.apk
2024-10-25 21:09
35K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 21:09
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 21:09
27K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 21:09
2.9K
vcsh-2.0.5-r0.apk
2024-10-25 21:09
8.8K
vcdimager-doc-2.0.1-r5.apk
2025-01-25 08:04
74K
vcdimager-dev-2.0.1-r5.apk
2025-01-25 08:04
113K
vcdimager-2.0.1-r5.apk
2025-01-25 08:04
450K
vbindiff-doc-3.0_beta5-r1.apk
2024-10-25 21:09
5.5K
vbindiff-3.0_beta5-r1.apk
2024-10-25 21:09
17K
varnish-modules-doc-0.24.0-r0.apk
2024-10-25 21:09
21K
varnish-modules-0.24.0-r0.apk
2024-10-25 21:09
39K
vals-0.39.0-r1.apk
2025-02-10 04:38
27M
uxplay-doc-1.71.1-r0.apk
2025-01-25 17:26
4.3K
uxplay-1.71.1-r0.apk
2025-01-25 17:26
208K
uxn-doc-1.0-r0.apk
2024-10-25 21:09
4.2K
uxn-1.0-r0.apk
2024-10-25 21:09
32K
uucp-doc-1.07-r6.apk
2024-10-25 21:09
118K
uucp-1.07-r6.apk
2024-10-25 21:09
420K
utop-full-2.9.1-r4.apk
2024-10-25 21:09
13M
utop-emacs-2.9.1-r4.apk
2024-10-25 21:09
13K
utop-doc-2.9.1-r4.apk
2024-10-25 21:09
5.7K
utop-common-2.9.1-r4.apk
2024-10-25 21:09
2.0K
utop-2.9.1-r4.apk
2024-10-25 21:09
13M
ustream-ssl-dev-20220116-r1.apk
2024-10-25 21:09
2.6K
ustream-ssl-20220116-r1.apk
2024-10-25 21:09
5.6K
ustr-static-1.0.4-r1.apk
2024-10-25 21:09
126K
ustr-doc-1.0.4-r1.apk
2024-10-25 21:09
97K
ustr-dev-1.0.4-r1.apk
2024-10-25 21:09
91K
ustr-debug-1.0.4-r1.apk
2024-10-25 21:09
61K
ustr-1.0.4-r1.apk
2024-10-25 21:09
44K
usbmuxd-doc-1.1.1-r8.apk
2024-10-30 23:44
2.9K
usbmuxd-1.1.1-r8.apk
2024-10-30 23:44
32K
urlwatch-pyc-2.28-r2.apk
2024-10-25 21:09
101K
urlwatch-doc-2.28-r2.apk
2024-10-25 21:09
33K
urlwatch-2.28-r2.apk
2024-10-25 21:09
49K
uranium-5.2.2-r3.apk
2024-10-25 21:09
596K
upterm-zsh-completion-0.14.3-r2.apk
2025-02-10 04:38
4.0K
upterm-server-openrc-0.14.3-r2.apk
2025-02-10 04:38
1.8K
upterm-server-0.14.3-r2.apk
2025-02-10 04:38
5.4M
upterm-doc-0.14.3-r2.apk
2025-02-10 04:38
6.3K
upterm-bash-completion-0.14.3-r2.apk
2025-02-10 04:38
5.5K
upterm-0.14.3-r2.apk
2025-02-10 04:38
5.6M
up-0.4-r26.apk
2025-02-10 04:38
1.1M
unit-php81-1.34.1-r0.apk
2025-01-11 20:54
27K
undock-0.9.0-r2.apk
2025-02-10 04:38
9.5M
ukify-257.3-r0.apk
2025-02-22 13:19
21K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 13:34
64K
ueberzug-18.3.1-r0.apk
2025-02-22 13:34
64K
udpt-openrc-3.1.2-r0.apk
2024-10-25 21:09
1.8K
udpt-3.1.2-r0.apk
2024-10-25 21:09
621K
uclient-fetch-20210514-r0.apk
2024-10-25 21:09
9.8K
uclient-dev-20210514-r0.apk
2024-10-25 21:09
3.2K
uclient-20210514-r0.apk
2024-10-25 21:09
10K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 21:09
2.3K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 21:09
16K
ubase-doc-20200605-r3.apk
2024-10-25 21:09
21K
ubase-20200605-r3.apk
2024-10-25 21:09
42K
uasm-2.56.2-r0.apk
2024-10-25 21:09
259K
u1db-qt-0.1.8-r0.apk
2024-10-25 21:09
89K
typstyle-0.12.14-r0.apk
2025-01-12 14:45
458K
typos-doc-1.23.2-r0.apk
2024-10-25 21:09
6.1K
typos-1.23.2-r0.apk
2024-10-25 21:09
2.6M
twinkle-doc-1.10.3-r3.apk
2025-01-04 22:55
3.5K
twinkle-1.10.3-r3.apk
2025-01-04 22:55
2.3M
twiggy-0.6.0-r3.apk
2024-10-25 21:09
688K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 21:09
17K
twemproxy-0.5.0-r0.apk
2024-10-25 21:09
57K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 21:09
1.8K
turnstile-doc-0.1.10-r3.apk
2024-10-25 21:09
5.7K
turnstile-0.1.10-r3.apk
2024-10-25 21:09
36K
turn-rs-openrc-3.3.3-r0.apk
2025-01-07 07:28
1.9K
turn-rs-doc-3.3.3-r0.apk
2025-01-07 07:28
11K
turn-rs-3.3.3-r0.apk
2025-01-07 07:28
490K
tuptime-openrc-5.2.4-r1.apk
2024-11-28 23:44
1.7K
tuptime-doc-5.2.4-r1.apk
2024-11-28 23:44
3.7K
tuptime-5.2.4-r1.apk
2024-11-28 23:44
14K
tup-vim-0.7.11-r0.apk
2024-10-25 21:09
2.6K
tup-doc-0.7.11-r0.apk
2024-10-25 21:09
21K
tup-0.7.11-r0.apk
2024-10-25 21:09
208K
tuned-utils-2.24.1-r1.apk
2025-01-27 16:48
10K
tuned-pyc-2.24.1-r1.apk
2025-01-27 16:48
319K
tuned-profiles-compat-2.24.1-r1.apk
2025-01-27 16:48
3.5K
tuned-profiles-2.24.1-r1.apk
2025-01-27 16:48
8.1K
tuned-ppd-openrc-2.24.1-r1.apk
2025-01-27 16:48
1.7K
tuned-ppd-2.24.1-r1.apk
2025-01-27 16:48
3.5K
tuned-openrc-2.24.1-r1.apk
2025-01-27 16:48
1.6K
tuned-gtk-2.24.1-r1.apk
2025-01-27 16:48
22K
tuned-doc-2.24.1-r1.apk
2025-01-27 16:48
67K
tuned-bash-completion-2.24.1-r1.apk
2025-01-27 16:48
1.9K
tuned-2.24.1-r1.apk
2025-01-27 16:48
153K
tui-journal-doc-0.10.0-r0.apk
2024-10-25 21:09
6.9K
tui-journal-0.10.0-r0.apk
2024-10-25 21:09
1.7M
ttyper-1.6.0-r0.apk
2025-02-05 22:45
535K
tty-share-2.4.0-r15.apk
2025-02-10 04:38
3.4M
tty-proxy-0.0.2-r25.apk
2025-02-10 04:38
2.3M
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 21:09
3.1K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 21:09
7.8K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 21:09
93K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 21:09
52K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 21:09
8.1K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 21:09
141K
ttfautohint-1.8.4-r0.apk
2024-10-25 21:09
24K
ttdl-doc-4.8.0-r0.apk
2025-02-01 18:06
37K
ttdl-4.8.0-r0.apk
2025-02-01 18:06
850K
tsung-1.8.0-r2.apk
2024-10-25 21:09
730K
trivy-0.59.1-r1.apk
2025-02-10 04:38
61M
trippy-zsh-completion-0.12.2-r0.apk
2025-01-04 23:29
4.7K
trippy-bash-completion-0.12.2-r0.apk
2025-01-04 23:29
3.1K
trippy-0.12.2-r0.apk
2025-01-04 23:29
1.7M
trigger-rally-doc-0.6.7-r2.apk
2024-10-25 21:09
28K
trigger-rally-data-0.6.7-r2.apk
2024-10-25 21:09
352M
trigger-rally-0.6.7-r2.apk
2024-10-25 21:08
279K
tremc-zsh-completion-0.9.3-r0.apk
2024-10-25 21:08
1.6K
tremc-doc-0.9.3-r0.apk
2024-10-25 21:08
2.6K
tremc-bash-completion-0.9.3-r0.apk
2024-10-25 21:08
1.7K
tremc-0.9.3-r0.apk
2024-10-25 21:08
48K
tree-sitter-xml-doc-0.7.0-r0.apk
2024-11-14 14:10
2.2K
tree-sitter-xml-0.7.0-r0.apk
2024-11-14 14:10
28K
tree-sitter-vimdoc-doc-3.0.0-r2.apk
2025-01-10 07:09
7.3K
tree-sitter-vimdoc-3.0.0-r2.apk
2025-01-10 07:09
27K
tree-sitter-vim-doc-0.4.0-r0.apk
2025-01-10 19:41
2.7K
tree-sitter-vim-0.4.0-r0.apk
2025-01-10 19:41
157K
tree-sitter-ssh-client-config-2025.2.20-r0.apk
2025-02-22 13:34
83K
tree-sitter-scheme-doc-0.23.0-r0.apk
2024-10-25 21:08
2.2K
tree-sitter-scheme-0.23.0-r0.apk
2024-10-25 21:08
24K
tree-sitter-ron-0.2.0-r0.apk
2024-10-25 21:08
28K
tree-sitter-query-doc-0.4.0-r0.apk
2025-01-10 19:41
6.0K
tree-sitter-query-0.4.0-r0.apk
2025-01-10 19:41
11K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 21:08
2.3K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 21:08
82K
tree-sitter-nix-doc-0.0.2-r0.apk
2025-02-04 18:20
2.2K
tree-sitter-nix-0.0.2-r0.apk
2025-02-04 18:20
22K
tree-sitter-markdown-doc-0.3.2-r0.apk
2024-10-25 21:08
2.2K
tree-sitter-markdown-0.3.2-r0.apk
2024-10-25 21:08
130K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 21:08
42K
tree-sitter-kotlin-0.3.8-r0.apk
2024-10-25 21:08
319K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 21:08
13K
tree-sitter-hcl-1.1.0-r1.apk
2024-10-25 21:08
38K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 16:53
283K
tree-sitter-hare-0_git20230616-r1.apk
2024-10-25 21:08
33K
tree-sitter-gleam-1.0.0-r0.apk
2024-10-25 21:08
43K
tree-sitter-git-rebase-0_git20220110-r2.apk
2024-10-25 21:08
6.9K
tree-sitter-git-diff-0_git20230730-r0.apk
2024-10-25 21:08
9.5K
tree-sitter-git-commit-0_git20211225-r2.apk
2024-10-25 21:08
13K
tree-sitter-dart-0_git20230123-r1.apk
2024-10-25 21:08
91K
tree-sitter-clojure-0.0.12-r0.apk
2024-10-25 21:08
22K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 21:08
2.3K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 21:08
69K
tree-sitter-c-sharp-0.23.1-r0.apk
2024-11-13 01:51
346K
tre-static-0.8.0-r2.apk
2024-10-25 21:08
23K
tre-dev-0.8.0-r2.apk
2024-10-25 21:08
5.2K
tre-0.8.0-r2.apk
2024-10-25 21:08
22K
trantor-doc-1.5.18-r0.apk
2024-10-25 21:08
2.6K
trantor-dev-1.5.18-r0.apk
2024-10-25 21:08
34K
trantor-1.5.18-r0.apk
2024-10-25 21:08
196K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 21:08
106K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 21:08
4.2K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 21:08
130K
trace-cmd-doc-3.3.1-r1.apk
2025-01-25 08:04
171K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-25 08:04
516K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-25 08:04
3.3K
trace-cmd-3.3.1-r1.apk
2025-01-25 08:04
149K
tpm2-pkcs11-pyc-1.9.1-r0.apk
2024-10-25 21:08
69K
tpm2-pkcs11-dev-1.9.1-r0.apk
2024-10-25 21:08
1.9K
tpm2-pkcs11-1.9.1-r0.apk
2024-10-25 21:08
122K
toybox-0.8.11-r1.apk
2024-10-25 21:08
269K
toss-1.1-r0.apk
2024-10-25 21:08
11K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 21:08
352K
topgit-doc-0.19.13-r1.apk
2024-10-25 21:08
73K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 21:08
4.0K
topgit-0.19.13-r1.apk
2024-10-25 21:08
127K
tootik-openrc-0.15.2-r1.apk
2025-02-10 04:38
3.1K
tootik-0.15.2-r1.apk
2025-02-10 04:38
3.7M
tonutils-reverse-proxy-doc-0.3.3-r2.apk
2025-02-10 04:38
2.3K
tonutils-reverse-proxy-0.3.3-r2.apk
2025-02-10 04:38
2.7M
toml2json-doc-1.3.1-r0.apk
2024-10-25 21:08
3.3K
toml2json-1.3.1-r0.apk
2024-10-25 21:08
319K
tofutf-server-openrc-0.10.0-r2.apk
2025-02-10 04:38
1.9K
tofutf-server-0.10.0-r2.apk
2025-02-10 04:38
13M
tofutf-cli-0.10.0-r2.apk
2025-02-10 04:38
7.9M
tofutf-agent-openrc-0.10.0-r2.apk
2025-02-10 04:38
1.9K
tofutf-agent-0.10.0-r2.apk
2025-02-10 04:38
8.6M
tofutf-0.10.0-r2.apk
2025-02-10 04:38
1.2K
today-doc-6.2.0-r0.apk
2024-10-25 21:08
3.3K
today-6.2.0-r0.apk
2024-10-25 21:08
3.1K
toapk-1.0-r0.apk
2024-10-25 21:08
10K
tnef-doc-1.4.18-r0.apk
2024-10-25 21:08
4.2K
tnef-1.4.18-r0.apk
2024-10-25 21:08
24K
tncattach-doc-0.1.9-r1.apk
2024-10-25 21:08
3.9K
tncattach-0.1.9-r1.apk
2024-10-25 21:08
20K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 21:08
8.4K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 21:08
14K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 21:08
3.2K
tmpmail-1.2.3-r2.apk
2024-10-25 21:08
7.0K
tmpl-doc-0.4.0-r8.apk
2025-02-10 04:38
2.2K
tmpl-0.4.0-r8.apk
2025-02-10 04:38
2.4M
tmate-doc-2.4.0-r4.apk
2024-10-25 21:08
72K
tmate-2.4.0-r4.apk
2024-10-25 21:08
218K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 18:09
14K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 18:09
3.5K
tldr-python-client-3.3.0-r0.apk
2024-12-01 18:09
12K
tkts-2.0-r0.apk
2024-10-25 21:08
17K
tk9-doc-9.0.1-r0.apk
2024-12-22 07:12
1.3M
tk9-dev-9.0.1-r0.apk
2024-12-22 07:12
81K
tk9-9.0.1-r0.apk
2024-12-22 07:12
774K
tinyscheme-1.42-r1.apk
2024-10-25 21:08
49K
tinygltf-dev-2.9.4-r0.apk
2025-01-25 08:04
57K
tinygltf-2.9.4-r0.apk
2025-01-25 08:04
130K
tinycbor-dev-0.6.0-r1.apk
2024-10-25 21:08
8.4K
tinycbor-0.6.0-r1.apk
2024-10-25 21:08
14K
tiny-doc-0.13.0-r0.apk
2025-01-01 19:10
5.5K
tiny-0.13.0-r0.apk
2025-01-01 19:10
506K
tintin-2.02.31-r0.apk
2024-10-25 21:08
1.8M
timoni-zsh-completion-0.23.0-r2.apk
2025-02-10 04:38
4.0K
timoni-fish-completion-0.23.0-r2.apk
2025-02-10 04:38
4.3K
timoni-doc-0.23.0-r2.apk
2025-02-10 04:38
338K
timoni-bash-completion-0.23.0-r2.apk
2025-02-10 04:38
7.9K
timoni-0.23.0-r2.apk
2025-02-10 04:38
23M
timewarrior-doc-1.7.1-r0.apk
2024-10-25 21:08
22K
timewarrior-1.7.1-r0.apk
2024-10-25 21:08
242K
timew-doc-1.4.3-r1.apk
2024-10-25 21:08
53K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 21:08
2.8K
timew-1.4.3-r1.apk
2024-10-25 21:08
231K
timeshift-lang-24.06.5-r0.apk
2024-12-05 21:47
917K
timeshift-doc-24.06.5-r0.apk
2024-12-05 21:47
3.1K
timeshift-24.06.5-r0.apk
2024-12-05 21:47
441K
ticker-zsh-completion-4.8.0-r1.apk
2025-02-10 04:38
3.7K
ticker-fish-completion-4.8.0-r1.apk
2025-02-10 04:38
3.8K
ticker-bash-completion-4.8.0-r1.apk
2025-02-10 04:38
4.5K
ticker-4.8.0-r1.apk
2025-02-10 04:38
3.7M
tick-doc-1.2.2-r0.apk
2025-01-14 02:26
5.5K
tick-1.2.2-r0.apk
2025-01-14 02:26
10K
tic-80-1.1.2837-r5.apk
2024-12-27 23:24
12M
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 21:08
25K
thunarx-python-0.5.2-r2.apk
2024-10-25 21:08
9.1K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:08
20K
thumbdrives-0.3.2-r2.apk
2024-10-25 21:08
11K
throttled-pyc-0.10.0-r1.apk
2024-12-15 20:22
28K
throttled-openrc-0.10.0-r1.apk
2024-12-15 20:22
1.6K
throttled-0.10.0-r1.apk
2024-12-15 20:22
15K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 21:08
2.3K
theme.sh-1.1.5-r0.apk
2024-10-25 21:08
39K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 21:08
2.0K
thelounge-doc-4.4.3-r0.apk
2024-10-25 21:08
2.3K
thelounge-4.4.3-r0.apk
2024-10-25 21:08
28M
thefuck-pyc-3.32-r5.apk
2024-10-25 21:08
156K
thefuck-3.32-r5.apk
2024-10-25 21:08
83K
theforceengine-doc-1.09.540-r1.apk
2024-10-25 21:08
6.3M
theforceengine-1.09.540-r1.apk
2024-10-25 21:08
6.7M
tfupdate-doc-0.8.2-r3.apk
2025-02-10 04:38
2.2K
tfupdate-0.8.2-r3.apk
2025-02-10 04:38
4.5M
texlab-5.19.0-r0.apk
2024-10-25 21:08
8.4M
termusic-mpv-0.7.11-r0.apk
2024-10-25 21:08
5.4M
termcolor-dev-2.1.0-r0.apk
2024-10-25 21:08
6.8K
termcolor-2.1.0-r0.apk
2024-10-25 21:08
1.5K
termbox-static-1.1.2-r1.apk
2024-10-25 21:08
11K
termbox-dev-1.1.2-r1.apk
2024-10-25 21:08
5.7K
termbox-1.1.2-r1.apk
2024-10-25 21:08
10K
tere-doc-1.6.0-r0.apk
2024-10-25 21:08
14K
tere-1.6.0-r0.apk
2024-10-25 21:08
940K
tenv-zsh-completion-3.2.4-r4.apk
2025-02-10 04:38
4.0K
tenv-fish-completion-3.2.4-r4.apk
2025-02-10 04:38
4.3K
tenv-bash-completion-3.2.4-r4.apk
2025-02-10 04:38
5.0K
tenv-3.2.4-r4.apk
2025-02-10 04:38
8.8M
templ-0.3.833-r1.apk
2025-02-10 04:38
4.4M
telegram-tdlib-static-1.8.45-r0.apk
2025-02-22 13:19
18M
telegram-tdlib-dev-1.8.45-r0.apk
2025-02-22 13:19
178K
telegram-tdlib-1.8.45-r0.apk
2025-02-22 13:19
6.3M
telegram-bot-api-8.3-r0.apk
2025-02-22 13:19
6.3M
teapot-tools-0.4.2-r2.apk
2024-10-25 21:08
1.8M
tealdeer-zsh-completion-1.7.1-r0.apk
2024-12-14 19:13
2.3K
tealdeer-fish-completion-1.7.1-r0.apk
2024-12-14 19:13
2.2K
tealdeer-bash-completion-1.7.1-r0.apk
2024-12-14 19:13
2.0K
tealdeer-1.7.1-r0.apk
2024-12-14 19:13
792K
tdrop-doc-0.5.0-r0.apk
2024-10-25 21:08
8.9K
tdrop-0.5.0-r0.apk
2024-10-25 21:08
12K
tcl9-doc-9.0.1-r0.apk
2024-12-22 07:12
1.4M
tcl9-dev-9.0.1-r0.apk
2024-12-22 07:12
183K
tcl9-9.0.1-r0.apk
2024-12-22 07:12
1.7M
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 21:08
38K
tcl-curl-7.22.0-r0.apk
2024-10-25 21:08
27K
tcc-libs-static-0.9.27_git20250106-r0.apk
2025-02-04 18:20
11K
tcc-libs-0.9.27_git20250106-r0.apk
2025-02-04 18:20
128K
tcc-doc-0.9.27_git20250106-r0.apk
2025-02-04 18:20
50K
tcc-dev-0.9.27_git20250106-r0.apk
2025-02-04 18:20
44K
tcc-0.9.27_git20250106-r0.apk
2025-02-04 18:20
9.9K
tayga-doc-0.9.2-r0.apk
2024-10-25 21:08
5.6K
tayga-0.9.2-r0.apk
2024-10-25 21:08
20K
tauri-cli-1.6.2-r0.apk
2024-11-01 01:03
4.5M
taskcafe-openrc-0.3.6-r10.apk
2025-02-10 04:38
1.8K
taskcafe-0.3.6-r10.apk
2025-02-10 04:38
13M
tartube-pyc-2.5.0-r1.apk
2024-10-25 21:08
1.1M
tartube-2.5.0-r1.apk
2024-10-25 21:08
2.7M
tanka-0.31.2-r1.apk
2025-02-10 04:38
4.0M
tanidvr-dhav2mkv-1.4.1-r1.apk
2024-10-25 21:08
12K
tanidvr-1.4.1-r1.apk
2024-10-25 21:08
21K
tangctl-0_git20241007-r1.apk
2025-02-10 04:38
2.4M
tangara-companion-0.4.3-r0.apk
2024-12-17 22:07
971K
tang-openrc-15-r0.apk
2025-01-25 08:04
1.9K
tang-doc-15-r0.apk
2025-01-25 08:04
21K
tang-dbg-15-r0.apk
2025-01-25 08:04
32K
tang-15-r0.apk
2025-01-25 08:04
14K
tailspin-zsh-completion-3.0.0-r0.apk
2024-10-25 21:08
2.4K
tailspin-fish-completion-3.0.0-r0.apk
2024-10-25 21:08
2.0K
tailspin-doc-3.0.0-r0.apk
2024-10-25 21:08
2.7K
tailspin-bash-completion-3.0.0-r0.apk
2024-10-25 21:08
2.2K
tailspin-3.0.0-r0.apk
2024-10-25 21:08
1.0M
tachyon-scenes-0.99_beta6-r1.apk
2024-10-25 21:08
1.9M
tachyon-0.99_beta6-r1.apk
2024-10-25 21:08
83K
tabby-doc-3.1-r1.apk
2024-10-25 21:08
2.2K
tabby-3.1-r1.apk
2024-10-25 21:08
26K
t2sz-1.1.2-r0.apk
2024-10-25 21:08
8.0K
systemd-efistub-257.3-r0.apk
2025-02-22 13:19
81K
systemd-boot-257.3-r0.apk
2025-02-22 13:19
47K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 21:08
221K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 21:08
2.2K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 21:08
440K
synapse-bt-openrc-1.0-r4.apk
2024-10-25 21:08
1.8K
synapse-bt-cli-1.0-r4.apk
2024-10-25 21:08
901K
synapse-bt-1.0-r4.apk
2024-10-25 21:08
1.0M
symengine-0.12.0-r0.apk
2024-10-25 21:08
3.2M
symbiyosys-0.36-r0.apk
2024-10-25 21:08
38K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 21:08
7.5K
sydbox-vim-3.21.3-r0.apk
2024-10-25 21:08
5.2K
sydbox-utils-3.21.3-r0.apk
2024-10-25 21:08
5.3M
sydbox-doc-3.21.3-r0.apk
2024-10-25 21:08
84K
sydbox-3.21.3-r0.apk
2024-10-25 21:08
1.2M
sxcs-doc-1.1.0-r0.apk
2024-10-25 21:08
2.6K
sxcs-1.1.0-r0.apk
2024-10-25 21:08
8.1K
swig3-doc-3.0.12-r3.apk
2024-10-25 21:08
3.6K
swig3-3.0.12-r3.apk
2024-10-25 21:08
1.2M
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 11:08
1.0M
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 11:08
922K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 11:08
22K
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 11:08
2.1M
swi-prolog-9.2.9-r0.apk
2024-12-21 11:08
4.5M
swhkd-doc-1.2.1-r0.apk
2024-10-25 21:08
6.2K
swhkd-1.2.1-r0.apk
2024-10-25 21:08
938K
swayhide-0.2.1-r2.apk
2024-10-25 21:08
224K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 21:08
8.9K
swappy-lang-1.5.1-r0.apk
2024-10-25 21:08
3.6K
swappy-doc-1.5.1-r0.apk
2024-10-25 21:08
3.7K
swappy-1.5.1-r0.apk
2024-10-25 21:08
26K
swaks-doc-20240103.0-r0.apk
2024-10-25 21:08
50K
swaks-20240103.0-r0.apk
2024-10-25 21:08
66K
svls-doc-0.2.12-r0.apk
2024-10-25 21:08
2.2K
svls-0.2.12-r0.apk
2024-10-25 21:08
3.1M
svgbob-0.7.6-r0.apk
2025-02-04 18:20
404K
suru-icon-theme-2024.10.13-r0.apk
2025-01-26 02:34
2.9M
surfraw-doc-2.3.0-r0.apk
2024-10-25 21:08
18K
surfraw-2.3.0-r0.apk
2024-10-25 21:08
79K
surf-doc-2.1-r3.apk
2024-10-25 21:08
4.6K
surf-2.1-r3.apk
2024-10-25 21:08
20K
supersonik-0.1.0-r1.apk
2024-12-23 02:13
1.0M
supermin-doc-5.2.2-r2.apk
2024-10-25 21:08
9.4K
supermin-5.2.2-r2.apk
2024-10-25 21:08
490K
supercollider-dev-3.13.0-r6.apk
2025-02-09 00:44
39K
supercollider-3.13.0-r6.apk
2025-02-09 00:44
7.1M
sudo-ldap-1.9.14-r1.apk
2024-10-25 21:08
692K
subliminal-pyc-2.2.1-r0.apk
2024-10-25 21:08
135K
subliminal-2.2.1-r0.apk
2024-10-25 21:08
68K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 21:08
302K
sublime-music-0.12.0-r1.apk
2024-10-25 21:08
190K
subdl-pyc-0_git20230616-r1.apk
2024-10-25 21:08
14K
subdl-0_git20230616-r1.apk
2024-10-25 21:08
8.7K
stw-doc-0.3-r0.apk
2024-10-25 21:08
2.5K
stw-0.3-r0.apk
2024-10-25 21:08
6.9K
sturmreader-lang-3.7.2-r1.apk
2025-01-29 20:44
39K
sturmreader-3.7.2-r1.apk
2025-01-29 20:44
1.0M
stone-soup-0.32.1-r0.apk
2024-10-25 21:08
31M
stockfish-16-r0.apk
2024-10-25 21:08
33M
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 21:08
1.9K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 21:08
18K
sthttpd-2.27.1-r2.apk
2024-10-25 21:08
58K
stern-zsh-completion-1.32.0-r1.apk
2025-02-10 04:38
4.0K
stern-fish-completion-1.32.0-r1.apk
2025-02-10 04:38
4.3K
stern-bash-completion-1.32.0-r1.apk
2025-02-10 04:38
5.8K
stern-1.32.0-r1.apk
2025-02-10 04:38
17M
steghide-doc-0.5.1.1-r0.apk
2024-10-25 21:08
14K
steghide-0.5.1.1-r0.apk
2024-10-25 21:08
123K
steamguard-cli-zsh-completion-0.9.6-r0.apk
2024-10-25 21:08
3.5K
steamguard-cli-bash-completion-0.9.6-r0.apk
2024-10-25 21:08
2.5K
steamguard-cli-0.9.6-r0.apk
2024-10-25 21:08
1.9M
stayrtr-openrc-0.6.1-r2.apk
2025-02-10 04:38
1.9K
stayrtr-0.6.1-r2.apk
2025-02-10 04:38
9.5M
startup-tools-2.0.3-r5.apk
2024-10-25 21:08
13K
startup-lang-2.0.3-r5.apk
2024-10-25 21:08
17K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 21:08
5.4K
startup-doc-2.0.3-r5.apk
2024-10-25 21:08
48K
startup-dev-2.0.3-r5.apk
2024-10-25 21:08
5.8K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 21:08
34K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 21:08
34K
startup-2.0.3-r5.apk
2024-10-25 21:08
461K
starfighter-doc-2.4-r0.apk
2024-10-25 21:08
22K
starfighter-2.4-r0.apk
2024-10-25 21:08
48M
stardict-lang-3.0.6-r6.apk
2024-10-25 21:08
290K
stardict-help-3.0.6-r6.apk
2024-10-25 21:08
3.4M
stardict-doc-3.0.6-r6.apk
2024-10-25 21:08
2.2K
stardict-3.0.6-r6.apk
2024-10-25 21:08
894K
sstp-client-doc-1.0.20-r1.apk
2024-12-01 18:03
4.8K
sstp-client-1.0.20-r1.apk
2024-12-01 18:03
37K
ssss-doc-0.5.7-r0.apk
2024-10-25 21:08
3.3K
ssss-0.5.7-r0.apk
2024-10-25 21:08
11K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 21:08
101K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 21:08
8.5K
sshuttle-1.1.2-r0.apk
2024-10-25 21:08
62K
sshsrv-1.0-r9.apk
2025-02-10 04:38
940K
sshs-4.6.1-r0.apk
2025-01-25 08:04
631K
ssh-tools-1.8-r0.apk
2024-10-25 21:08
26K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 21:08
2.1K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 21:08
7.9K
ssh-cert-authority-2.0.0-r23.apk
2025-02-10 04:38
4.5M
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 21:08
18K
ssdfs-tools-4.09-r0.apk
2024-10-25 21:08
96K
srain-lang-1.8.0-r0.apk
2024-11-20 03:43
35K
srain-1.8.0-r0.apk
2024-11-20 03:43
144K
squeak-vm-doc-4.10.2.2614-r1.apk
2024-10-25 21:08
12K
squeak-vm-4.10.2.2614-r1.apk
2024-10-25 21:08
389K
sqm-scripts-1.6.0-r0.apk
2024-10-25 21:08
20K
sqlmap-pyc-1.9-r0.apk
2025-01-13 23:49
1.2M
sqlmap-1.9-r0.apk
2025-01-13 23:49
6.8M
sqliteodbc-0.99991-r0.apk
2024-10-25 21:08
81K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 21:08
3.3K
sqlar-0_git20180107-r1.apk
2024-10-25 21:08
14K
sqawk-doc-0.24.0-r0.apk
2024-10-25 21:08
113K
sqawk-0.24.0-r0.apk
2024-10-25 21:08
14K
spvm-thread-doc-0.003-r0.apk
2025-02-04 18:20
5.8K
spvm-thread-0.003-r0.apk
2025-02-04 18:20
10K
spvm-mime-base64-doc-1.003-r0.apk
2025-02-04 18:20
5.3K
spvm-mime-base64-1.003-r0.apk
2025-02-04 18:20
13K
spvm-math-doc-1.006-r0.apk
2025-02-04 18:20
6.8K
spvm-math-1.006-r0.apk
2025-02-04 18:20
18K
spvm-errno-doc-0.093-r0.apk
2025-01-25 08:04
5.9K
spvm-errno-0.093-r0.apk
2025-01-25 08:04
15K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 21:08
4.6K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 21:08
340K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 21:08
195K
spread-sheet-widget-0.10-r0.apk
2024-10-25 21:08
39K
spotify-tui-0.25.0-r2.apk
2024-10-25 21:08
1.7M
spnavcfg-1.1-r0.apk
2024-10-25 21:08
34K
spiritvnc-0.6.5-r0.apk
2024-11-03 06:09
47K
spike-1.1.0-r0.apk
2024-10-25 21:08
920K
spice-html5-0.3.0-r1.apk
2024-10-25 21:08
438K
speedtest_exporter-openrc-0.3.2-r12.apk
2025-02-10 04:38
1.8K
speedtest_exporter-0.3.2-r12.apk
2025-02-10 04:38
3.5M
speedtest-go-openrc-1.1.5-r12.apk
2025-02-10 04:38
1.7K
speedtest-go-doc-1.1.5-r12.apk
2025-02-10 04:38
4.4K
speedtest-go-1.1.5-r12.apk
2025-02-10 04:38
5.1M
speedtest-examples-5.2.5-r1.apk
2024-10-25 21:08
13K
speedtest-doc-5.2.5-r1.apk
2024-10-25 21:08
18K
speedtest-5.2.5-r1.apk
2024-10-25 21:08
253K
speedcrunch-0.12-r3.apk
2024-10-25 21:08
1.1M
spark-2.8.3-r1.apk
2024-10-25 21:08
29M
spampd-openrc-2.61-r1.apk
2024-10-25 21:08
2.1K
spampd-2.61-r1.apk
2024-10-25 21:08
39K
spacer-doc-0.3.0-r0.apk
2024-12-24 23:51
3.0K
spacer-0.3.0-r0.apk
2024-12-24 23:51
874K
spacectl-zsh-completion-1.0.0-r3.apk
2025-02-10 04:38
1.7K
spacectl-fish-completion-1.0.0-r3.apk
2025-02-10 04:38
6.0K
spacectl-doc-1.0.0-r3.apk
2025-02-10 04:38
2.2K
spacectl-bash-completion-1.0.0-r3.apk
2025-02-10 04:38
2.0K
spacectl-1.0.0-r3.apk
2025-02-10 04:38
4.9M
soundfont-vintage-dreams-waves-doc-2.1-r2.apk
2024-10-25 21:08
2.2K
soundfont-vintage-dreams-waves-2.1-r2.apk
2024-10-25 21:08
91K
soundconverter-pyc-4.0.6-r0.apk
2024-11-12 16:33
75K
soundconverter-lang-4.0.6-r0.apk
2024-11-12 16:33
107K
soundconverter-doc-4.0.6-r0.apk
2024-11-12 16:33
4.5K
soundconverter-4.0.6-r0.apk
2024-11-12 16:33
165K
sos-0.8-r28.apk
2025-02-10 04:38
2.5M
soqt-doc-1.6.3-r0.apk
2024-12-13 21:44
881K
soqt-dev-1.6.3-r0.apk
2024-12-13 21:44
84K
soqt-1.6.3-r0.apk
2024-12-13 21:44
206K
sopwith-doc-2.5.0-r0.apk
2024-10-25 21:08
15K
sopwith-2.5.0-r0.apk
2024-10-25 21:08
45K
sonarr-openrc-4.0.13.2932-r0.apk
2025-02-22 13:19
2.0K
sonarr-4.0.13.2932-r0.apk
2025-02-22 13:19
27M
somebar-doc-1.0.3-r0.apk
2024-10-25 21:08
2.4K
somebar-1.0.3-r0.apk
2024-10-25 21:08
32K
solarus-engine-doc-1.7.0-r1.apk
2025-02-22 13:19
3.3K
solarus-engine-1.7.0-r1.apk
2025-02-22 13:19
1.8M
solanum-lang-5.0.0-r0.apk
2024-10-25 21:08
40K
solanum-5.0.0-r0.apk
2024-10-25 21:08
225K
soapy-sdr-remote-openrc-0.5.2-r1.apk
2024-10-25 21:08
1.7K
soapy-sdr-remote-doc-0.5.2-r1.apk
2024-10-25 21:08
2.3K
soapy-sdr-remote-0.5.2-r1.apk
2024-10-25 21:08
174K
soapy-hackrf-0.3.4-r2.apk
2024-10-25 21:08
26K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 02:11
46K
so-0.4.10-r0.apk
2024-10-25 21:08
1.8M
snore-doc-0.3.1-r0.apk
2024-10-25 21:08
3.0K
snore-0.3.1-r0.apk
2024-10-25 21:08
4.7K
snippets-ls-0.0.4_git20240617-r2.apk
2025-02-10 04:38
1.3M
sndfile-tools-doc-1.5-r1.apk
2024-10-25 21:08
361K
sndfile-tools-1.5-r1.apk
2024-10-25 21:08
36K
snapraid-doc-12.3-r0.apk
2024-10-25 21:08
17K
snapraid-12.3-r0.apk
2024-10-25 21:08
250K
snapper-zsh-completion-0.12.1-r0.apk
2025-02-13 08:30
3.5K
snapper-lang-0.12.1-r0.apk
2025-02-13 08:30
196K
snapper-doc-0.12.1-r0.apk
2025-02-13 08:30
25K
snapper-dev-0.12.1-r0.apk
2025-02-13 08:30
10K
snapper-bash-completion-0.12.1-r0.apk
2025-02-13 08:30
3.0K
snapper-0.12.1-r0.apk
2025-02-13 08:30
870K
smplxmpp-doc-0.9.3-r4.apk
2024-12-18 13:24
25K
smplxmpp-0.9.3-r4.apk
2024-12-18 13:24
132K
smile-lang-2.9.5-r0.apk
2024-10-25 21:08
24K
smile-2.9.5-r0.apk
2024-10-25 21:08
694K
smassh-pyc-3.1.6-r0.apk
2024-11-21 03:56
70K
smassh-3.1.6-r0.apk
2024-11-21 03:56
72K
slurm-doc-0.4.4-r0.apk
2024-10-25 21:08
2.3K
slurm-0.4.4-r0.apk
2024-10-25 21:08
14K
sloccount-doc-2.26-r3.apk
2024-10-25 21:08
59K
sloccount-2.26-r3.apk
2024-10-25 21:08
56K
slidge-pyc-0.1.3-r0.apk
2024-10-25 21:08
285K
slidge-openrc-0.1.3-r0.apk
2024-10-25 21:08
2.3K
slidge-matridge-pyc-0.1.0-r0.apk
2024-10-25 21:08
38K
slidge-matridge-openrc-0.1.0-r0.apk
2024-10-25 21:08
1.5K
slidge-matridge-0.1.0-r0.apk
2024-10-25 21:08
30K
slidge-doc-0.1.3-r0.apk
2024-10-25 21:08
4.5K
slidge-0.1.3-r0.apk
2024-10-25 21:08
145K
sish-openrc-2.16.1-r2.apk
2025-02-10 04:38
1.8K
sish-2.16.1-r2.apk
2025-02-10 04:38
7.6M
siril-lang-1.2.6-r0.apk
2025-02-04 18:20
1.6M
siril-doc-1.2.6-r0.apk
2025-02-04 18:20
18K
siril-1.2.6-r0.apk
2025-02-04 18:20
2.5M
sipgrep-2.2.0-r1.apk
2024-10-25 21:08
24K
sipexer-1.2.0-r0.apk
2025-02-22 13:19
2.5M
singular-static-4.4.1-r0.apk
2025-02-06 05:42
4.5M
singular-emacs-4.4.1-r0.apk
2025-02-06 05:42
101K
singular-doc-4.4.1-r0.apk
2025-02-06 05:42
1.3M
singular-dev-4.4.1-r0.apk
2025-02-06 05:42
363K
singular-4.4.1-r0.apk
2025-02-06 05:42
9.2M
sing-geosite-20250214013419-r0.apk
2025-02-22 13:19
1.1M
sing-geoip-20250212-r0.apk
2025-02-22 13:19
1.6M
sing-box-zsh-completion-1.11.3-r0.apk
2025-02-22 13:19
4.0K
sing-box-openrc-1.11.3-r0.apk
2025-02-22 13:19
2.0K
sing-box-fish-completion-1.11.3-r0.apk
2025-02-22 13:19
4.3K
sing-box-bash-completion-1.11.3-r0.apk
2025-02-22 13:19
5.1K
sing-box-1.11.3-r0.apk
2025-02-22 13:19
11M
simpleble-dev-0.6.1-r2.apk
2024-12-14 20:23
19K
simpleble-0.6.1-r2.apk
2024-12-14 20:23
1.2K
simp1e-cursors-solarized-0_git20211003-r0.apk
2024-10-25 21:08
717K
simp1e-cursors-snow-0_git20211003-r0.apk
2024-10-25 21:08
828K
simp1e-cursors-dark-0_git20211003-r0.apk
2024-10-25 21:08
780K
simp1e-cursors-breeze-0_git20211003-r0.apk
2024-10-25 21:08
857K
simp1e-cursors-0_git20211003-r0.apk
2024-10-25 21:08
741K
simh-3.11.1-r1.apk
2024-10-25 21:08
2.6M
simgear-dev-2020.3.19-r1.apk
2024-10-25 21:08
394K
simgear-2020.3.19-r1.apk
2024-10-25 21:08
1.5M
silc-client-doc-1.1.11-r17.apk
2024-10-25 21:08
83K
silc-client-1.1.11-r17.apk
2024-10-25 21:08
740K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 21:08
13K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 21:08
8.0K
sigrok-cli-0.7.2-r0.apk
2024-10-25 21:08
36K
sigma-pyc-0.23.1-r1.apk
2024-10-25 21:08
340K
sigma-0.23.1-r1.apk
2024-10-25 21:08
237K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 21:08
1.8K
shntool-doc-3.0.10-r4.apk
2024-10-25 21:08
10K
shntool-3.0.10-r4.apk
2024-10-25 21:08
54K
shipments-0.3.0-r0.apk
2024-10-25 21:08
23K
shine-3.1.1-r0.apk
2024-10-25 21:08
50K
shfm-doc-0.4.2-r1.apk
2024-10-25 21:08
6.1K
shfm-0.4.2-r1.apk
2024-10-25 21:08
4.0K
shellinabox-openrc-2.21-r3.apk
2024-10-25 21:08
3.5K
shellinabox-doc-2.21-r3.apk
2024-10-25 21:08
19K
shellinabox-2.21-r3.apk
2024-10-25 21:08
115K
shc-4.0.3-r2.apk
2024-10-25 21:08
18K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 21:08
2.5M
sfwbar-doc-1.0_beta16-r1.apk
2024-12-12 20:02
26K
sfwbar-1.0_beta16-r1.apk
2024-12-12 20:02
253K
sflowtool-doc-6.02-r0.apk
2024-10-25 21:08
9.3K
sflowtool-6.02-r0.apk
2024-10-25 21:08
41K
setroot-doc-2.0.2-r1.apk
2024-10-25 21:08
4.4K
setroot-2.0.2-r1.apk
2024-10-25 21:08
11K
serie-doc-0.4.3-r0.apk
2025-02-04 18:20
6.4K
serie-0.4.3-r0.apk
2025-02-04 18:20
805K
serialdv-libs-1.1.4-r1.apk
2025-02-09 00:44
56K
serialdv-dev-1.1.4-r1.apk
2025-02-09 00:44
5.1K
serialdv-1.1.4-r1.apk
2025-02-09 00:44
7.0K
sentinel-proxy-openrc-2.1.0-r0.apk
2024-10-25 21:08
2.3K
sentinel-proxy-dev-2.1.0-r0.apk
2024-10-25 21:08
4.3K
sentinel-proxy-2.1.0-r0.apk
2024-10-25 21:08
36K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 21:08
2.6K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 21:08
40K
sedutil-doc-1.15.1-r1.apk
2024-10-25 21:08
3.1K
sedutil-1.15.1-r1.apk
2024-10-25 21:08
173K
secsipidx-libs-1.3.2-r9.apk
2025-02-10 04:38
2.2M
secsipidx-dev-1.3.2-r9.apk
2025-02-10 04:38
4.5M
secsipidx-1.3.2-r9.apk
2025-02-10 04:38
2.5M
seaweedfs-openrc-3.80-r2.apk
2025-02-10 04:38
1.8K
seaweedfs-doc-3.80-r2.apk
2025-02-10 04:38
14K
seaweedfs-3.80-r2.apk
2025-02-10 04:38
23M
sdparm-doc-1.12-r1.apk
2024-10-25 21:08
19K
sdparm-1.12-r1.apk
2024-10-25 21:08
133K
sct-2018.12.18-r1.apk
2024-10-25 21:08
3.4K
scrypt-doc-1.3.2-r0.apk
2024-10-25 21:08
4.3K
scrypt-1.3.2-r0.apk
2024-10-25 21:08
25K
screenkey-pyc-1.5-r6.apk
2024-10-25 21:08
73K
screenkey-doc-1.5-r6.apk
2024-10-25 21:08
11K
screenkey-1.5-r6.apk
2024-10-25 21:08
77K
scratch-doc-1.4.0.7-r1.apk
2024-10-25 21:08
2.6K
scratch-1.4.0.7-r1.apk
2024-10-25 21:08
39M
scooper-doc-1.3-r1.apk
2024-10-25 21:08
2.6K
scooper-1.3-r1.apk
2024-10-25 21:08
424K
sciteco-gtk-2.3.0-r0.apk
2024-12-29 09:41
1.2M
sciteco-doc-2.3.0-r0.apk
2024-12-29 09:41
111K
sciteco-common-2.3.0-r0.apk
2024-12-29 09:41
150K
sciteco-2.3.0-r0.apk
2024-12-29 09:41
1.1M
schismtracker-doc-20231029-r0.apk
2024-10-25 21:08
6.2K
schismtracker-20231029-r0.apk
2024-10-25 21:08
345K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 21:08
1.6M
scap-workbench-1.2.1-r3.apk
2024-10-25 21:08
223K
scalingo-1.30.0-r7.apk
2025-02-10 04:38
5.0M
sc3-plugins-3.13.0-r2.apk
2025-02-09 00:44
8.4M
sc-im-doc-0.8.4-r0.apk
2024-10-25 21:08
4.7K
sc-im-0.8.4-r0.apk
2024-10-25 21:08
155K
sc-controller-pyc-0.5.0-r0.apk
2025-01-27 22:37
813K
sc-controller-0.5.0-r0.apk
2025-01-27 22:37
1.3M
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 21:08
4.5K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 21:08
90K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 21:08
35K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 21:08
22K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 21:08
47K
sblg-doc-0.5.11-r0.apk
2024-10-25 21:08
1.3M
sblg-0.5.11-r0.apk
2024-10-25 21:08
33K
sbase-doc-0_git20210730-r3.apk
2024-10-25 21:08
58K
sbase-0_git20210730-r3.apk
2024-10-25 21:08
109K
sauerbraten-2020.12.29-r4.apk
2025-02-22 13:19
934M
satellite-openrc-1.0.0-r25.apk
2025-02-10 04:38
1.8K
satellite-doc-1.0.0-r25.apk
2025-02-10 04:38
3.0K
satellite-1.0.0-r25.apk
2025-02-10 04:38
2.0M
sandbar-0.1-r0.apk
2024-10-25 21:08
14K
sacc-doc-1.07-r0.apk
2024-10-25 21:08
2.8K
sacc-1.07-r0.apk
2024-10-25 21:08
14K
saait-doc-0.8-r0.apk
2024-10-25 21:08
13K
saait-0.8-r0.apk
2024-10-25 21:08
6.7K
s5cmd-2.2.2-r7.apk
2025-02-10 04:38
4.8M
s-postgray-doc-0.8.3-r0.apk
2024-10-25 21:08
9.6K
s-postgray-0.8.3-r0.apk
2024-10-25 21:08
39K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 21:08
8.5K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 21:08
48K
rygel-lang-0.44.1-r0.apk
2024-12-01 13:57
567K
rygel-doc-0.44.1-r0.apk
2024-12-01 13:57
9.6K
rygel-dev-0.44.1-r0.apk
2024-12-01 13:57
43K
rygel-0.44.1-r0.apk
2024-12-01 13:57
694K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 21:08
2.3K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 21:08
2.0K
ry-0.5.2-r1.apk
2024-10-25 21:08
4.6K
rvlprog-0.91-r2.apk
2024-10-25 21:08
24K
ruuvi-prometheus-openrc-0.1.7-r7.apk
2025-02-10 04:38
1.6K
ruuvi-prometheus-0.1.7-r7.apk
2025-02-10 04:38
3.4M
rustscan-2.3.0-r0.apk
2024-10-25 21:08
1.2M
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 21:08
13K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 21:08
17K
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 21:08
8.6K
rustic-0.9.3-r0.apk
2024-10-25 21:08
5.4M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 21:08
2.2K
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 21:08
2.1M
rust-script-0.35.0-r0.apk
2024-10-27 17:30
828K
runst-doc-0.1.7-r0.apk
2024-10-25 21:08
7.7K
runst-0.1.7-r0.apk
2024-10-25 21:08
1.5M
ruff-lsp-pyc-0.0.53-r0.apk
2024-10-25 21:08
35K
ruff-lsp-0.0.53-r0.apk
2024-10-25 21:08
21K
ruby-yard-doc-0.9.37-r0.apk
2024-10-25 21:08
48K
ruby-yard-0.9.37-r0.apk
2024-10-25 21:08
596K
ruby-syslog-0.2.0-r1.apk
2025-01-25 08:04
8.7K
ruby-simplecov_json_formatter-doc-0.1.4-r0.apk
2024-10-25 21:08
2.2K
ruby-simplecov_json_formatter-0.1.4-r0.apk
2024-10-25 21:08
3.5K
ruby-simplecov-html-doc-0.13.1-r0.apk
2024-10-25 21:08
2.2K
ruby-simplecov-html-0.13.1-r0.apk
2024-10-25 21:08
4.4K
ruby-simplecov-doc-0.22.0-r0.apk
2024-10-25 21:08
4.1K
ruby-simplecov-cobertura-2.1.0-r0.apk
2024-10-25 21:08
2.2K
ruby-simplecov-0.22.0-r0.apk
2024-10-25 21:08
31K
ruby-rainbow-3.1.1-r0.apk
2024-10-25 21:08
8.2K
ruby-notify-doc-0.5.2-r0.apk
2024-10-25 21:08
2.2K
ruby-notify-0.5.2-r0.apk
2024-10-25 21:08
6.1K
ruby-libguestfs-1.52.0-r1.apk
2024-10-25 21:08
101K
ruby-docile-doc-1.4.1-r0.apk
2024-10-25 21:08
2.2K
ruby-docile-1.4.1-r0.apk
2024-10-25 21:08
6.0K
ruby-build-runtime-20241225.2-r0.apk
2025-01-09 07:30
1.2K
ruby-build-doc-20241225.2-r0.apk
2025-01-09 07:30
4.7K
ruby-build-20241225.2-r0.apk
2025-01-09 07:30
88K
ruby-base64-0.2.0-r0.apk
2024-10-25 21:08
5.2K
rtw89-src-7_p20230725-r0.apk
2024-10-25 21:08
759K
rtptools-doc-1.22-r2.apk
2024-10-25 21:08
13K
rtptools-1.22-r2.apk
2024-10-25 21:08
37K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 21:08
14K
rtmidi-6.0.0-r0.apk
2024-10-25 21:08
27K
rtl8812au-src-5.6.4.2_git20231103-r1.apk
2025-01-05 22:12
2.6M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 21:08
4.0M
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 21:08
8.2K
rtl-power-fftw-20200601-r4.apk
2024-10-25 21:08
57K
rsstail-doc-2.2-r0.apk
2025-02-13 08:17
2.8K
rsstail-2.2-r0.apk
2025-02-13 08:17
8.0K
rss-email-doc-0.5.0-r0.apk
2024-10-25 21:08
6.4K
rss-email-0.5.0-r0.apk
2024-10-25 21:08
1.8M
rpicam-apps-doc-1.5.3-r0.apk
2025-01-25 08:04
2.3K
rpicam-apps-1.5.3-r0.apk
2025-01-25 08:04
394K
rpi-imager-doc-1.9.0-r0.apk
2024-10-25 21:08
3.1K
rpi-imager-1.9.0-r0.apk
2024-10-25 21:08
680K
rpg-cli-1.2.0-r0.apk
2024-10-25 21:08
533K
roswell-doc-24.10.115-r0.apk
2024-10-25 21:08
18K
roswell-24.10.115-r0.apk
2024-10-25 21:08
107K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 21:08
119K
rosdep-0.19.0-r6.apk
2024-10-25 21:08
66K
rook-getattr-0.2.0-r3.apk
2025-02-10 04:38
2.6K
rook-doc-0.2.0-r3.apk
2025-02-10 04:38
23K
rook-autotype-0.2.0-r3.apk
2025-02-10 04:38
3.7K
rook-0.2.0-r3.apk
2025-02-10 04:38
1.8M
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 21:08
5.0K
rofi-pass-2.0.2-r2.apk
2024-10-25 21:08
8.8K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 21:08
4.7K
rofi-blocks-0.1.0-r0.apk
2024-10-25 21:08
10K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 21:08
124K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 21:08
96K
rmlint-lang-2.10.2-r2.apk
2024-10-25 21:08
19K
rmlint-doc-2.10.2-r2.apk
2024-10-25 21:08
18K
rmlint-2.10.2-r2.apk
2024-10-25 21:08
134K
rke-doc-1.4.3-r12.apk
2025-02-10 04:38
2.9K
rke-1.4.3-r12.apk
2025-02-10 04:38
19M
rkdeveloptool-doc-1.1.0-r1.apk
2024-10-25 21:08
3.0K
rkdeveloptool-1.1.0-r1.apk
2024-10-25 21:08
52K
rizin-libs-0.6.3-r1.apk
2024-10-25 21:08
3.7M
rizin-doc-0.6.3-r1.apk
2024-10-25 21:08
18K
rizin-dev-0.6.3-r1.apk
2024-10-25 21:08
306K
rizin-cutter-dev-2.3.2-r2.apk
2024-10-25 21:08
108K
rizin-cutter-2.3.2-r2.apk
2024-10-25 21:08
2.0M
rizin-0.6.3-r1.apk
2024-10-25 21:08
2.7M
river-shifttags-doc-0.2.1-r0.apk
2024-10-25 21:08
2.3K
river-shifttags-0.2.1-r0.apk
2024-10-25 21:08
5.9K
river-luatile-0.1.3-r0.apk
2024-10-25 21:08
364K
ripdrag-0.4.10-r0.apk
2024-10-25 21:08
295K
ripasso-cursive-0.6.5-r0.apk
2024-10-25 21:08
2.6M
rio-terminfo-0.2.7-r0.apk
2025-02-22 13:17
3.4K
rio-doc-0.2.7-r0.apk
2025-02-22 13:17
2.2K
rio-0.2.7-r0.apk
2025-02-22 13:17
9.4M
rinetd-openrc-0.73-r0.apk
2024-10-25 21:08
1.7K
rinetd-doc-0.73-r0.apk
2024-10-25 21:08
16K
rinetd-0.73-r0.apk
2024-10-25 21:08
13K
rime-ls-0.4.1-r0.apk
2024-12-25 16:40
1.0M
riemann-cli-0.8.0-r2.apk
2024-10-25 21:08
476K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 21:08
73K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 21:08
44K
rgxg-doc-0.1.2-r2.apk
2024-10-25 21:08
12K
rgxg-dev-0.1.2-r2.apk
2024-10-25 21:08
3.5K
rgxg-0.1.2-r2.apk
2024-10-25 21:08
13K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 21:08
2.1K
rezolus-doc-2.11.1-r3.apk
2024-10-25 21:08
3.4K
rezolus-2.11.1-r3.apk
2024-10-25 21:08
784K
restinio-dev-0.6.19-r1.apk
2024-12-14 20:23
268K
restinio-0.6.19-r1.apk
2024-12-14 20:23
1.2K
restic.mk-0.4.0-r0.apk
2024-10-25 21:08
2.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 21:08
5.9K
restart-services-0.17.0-r0.apk
2024-10-25 21:08
12K
responder-3.1.5.0-r0.apk
2024-10-25 21:08
750K
reredirect-doc-0.3-r0.apk
2024-10-25 21:08
2.8K
reredirect-0.3-r0.apk
2024-10-25 21:08
7.2K
reprotest-pyc-0.7.29-r0.apk
2024-12-23 05:07
103K
reprotest-0.7.29-r0.apk
2024-12-23 05:07
80K
repowerd-openrc-2023.07-r3.apk
2025-02-22 13:17
1.7K
repowerd-2023.07-r3.apk
2025-02-22 13:17
790K
repo-doc-2.50.1-r0.apk
2024-12-20 21:12
38K
repo-2.50.1-r0.apk
2024-12-20 21:12
17K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 21:08
1.6K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 21:08
4.1K
repgrep-doc-0.15.0-r0.apk
2024-10-25 21:08
6.5K
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 21:08
1.6K
repgrep-0.15.0-r0.apk
2024-10-25 21:08
1.1M
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 21:08
6.1K
remind-caldav-0.8.0-r4.apk
2024-10-25 21:08
18K
remake-make-1.5-r1.apk
2024-10-25 21:08
1.5K
remake-doc-1.5-r1.apk
2024-10-25 21:08
202K
remake-dev-1.5-r1.apk
2024-10-25 21:08
2.9K
remake-1.5-r1.apk
2024-10-25 21:08
124K
regclient-0.7.1-r2.apk
2025-02-10 04:38
12M
regal-zsh-completion-0.29.2-r2.apk
2025-02-10 04:38
4.0K
regal-fish-completion-0.29.2-r2.apk
2025-02-10 04:38
4.3K
regal-bash-completion-0.29.2-r2.apk
2025-02-10 04:38
5.0K
regal-0.29.2-r2.apk
2025-02-10 04:38
9.4M
reg-0.16.1-r25.apk
2025-02-10 04:38
4.2M
refine-lang-0.4.4-r0.apk
2025-02-05 22:25
15K
refine-0.4.4-r0.apk
2025-02-05 22:25
27K
recyclarr-cli-7.4.0-r0.apk
2024-11-25 15:09
2.5M
recoll-doc-1.37.5-r1.apk
2024-10-25 21:08
21K
recoll-dev-1.37.5-r1.apk
2024-10-25 21:08
53K
recoll-1.37.5-r1.apk
2024-10-25 21:08
2.6M
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 21:08
346K
reason-rtop-3.8.2-r1.apk
2024-10-25 21:08
24M
reason-3.8.2-r1.apk
2024-10-25 21:08
18M
readosm-dev-1.1.0-r2.apk
2024-10-25 21:08
17K
readosm-1.1.0-r2.apk
2024-10-25 21:08
12K
reaction-tools-1.4.1-r3.apk
2025-02-10 04:38
17K
reaction-openrc-1.4.1-r3.apk
2025-02-10 04:38
1.8K
reaction-1.4.1-r3.apk
2025-02-10 04:38
2.0M
rdrview-doc-0.1.3-r0.apk
2025-02-22 20:22
3.7K
rdrview-0.1.3-r0.apk
2025-02-22 20:22
24K
rdedup-3.2.1-r5.apk
2024-10-25 21:08
737K
rcon-cli-1.6.2-r8.apk
2025-02-10 04:38
2.4M
rclone-browser-1.8.0-r1.apk
2024-10-25 21:08
313K
razercfg-pyc-0.42-r7.apk
2024-10-25 21:08
36K
razercfg-openrc-0.42-r7.apk
2024-10-25 21:08
1.7K
razercfg-gui-0.42-r7.apk
2024-10-25 21:08
19K
razercfg-0.42-r7.apk
2024-10-25 21:08
68K
rauc-service-1.10.1-r0.apk
2024-10-25 21:08
3.7K
rauc-doc-1.10.1-r0.apk
2024-10-25 21:08
4.1K
rauc-1.10.1-r0.apk
2024-10-25 21:08
140K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 21:08
5.4K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 21:08
4.7K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 21:08
6.7K
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 21:08
3.6K
rattler-build-0.18.0-r0.apk
2024-10-25 21:08
5.3M
rathole-0.5.0-r0.apk
2024-10-25 21:08
1.3M
raspi2png-0.0.20190727-r0.apk
2024-10-25 21:08
5.9K
raspberrypi-usbboot-20210701-r3.apk
2024-10-25 21:08
1.5M
rankwidth-static-0.9-r3.apk
2024-10-25 21:08
3.7K
rankwidth-libs-0.9-r3.apk
2024-10-25 21:08
4.6K
rankwidth-doc-0.9-r3.apk
2024-10-25 21:08
3.0K
rankwidth-dev-0.9-r3.apk
2024-10-25 21:08
2.9K
rankwidth-0.9-r3.apk
2024-10-25 21:08
4.9K
randrctl-pyc-1.10.0-r0.apk
2024-11-20 03:43
31K
randrctl-1.10.0-r0.apk
2024-11-20 03:43
28K
radarr-openrc-5.18.4.9674-r0.apk
2025-02-04 18:20
2.0K
radarr-5.18.4.9674-r0.apk
2025-02-04 18:20
27M
quodlibet-zsh-completion-4.6.0-r1.apk
2024-10-25 21:08
2.7K
quodlibet-pyc-4.6.0-r1.apk
2024-10-25 21:08
1.8M
quodlibet-lang-4.6.0-r1.apk
2024-10-25 21:08
1.2M
quodlibet-doc-4.6.0-r1.apk
2024-10-25 21:08
8.7K
quodlibet-bash-completion-4.6.0-r1.apk
2024-10-25 21:08
4.7K
quodlibet-4.6.0-r1.apk
2024-10-25 21:08
1.0M
queercat-1.0.0-r0.apk
2024-10-25 21:08
7.7K
qucs-s-lang-1.1.0-r1.apk
2024-10-25 21:08
865K
qucs-s-doc-1.1.0-r1.apk
2024-10-25 21:08
2.3K
qucs-s-1.1.0-r1.apk
2024-10-25 21:08
3.0M
quakespasm-0.96.3-r0.apk
2024-10-25 21:08
426K
qtpass-doc-1.4.0-r0.apk
2024-10-25 21:08
2.1K
qtpass-1.4.0-r0.apk
2024-10-25 21:08
409K
qtox-1.17.6-r6.apk
2024-10-25 21:08
5.0M
qtmir-dev-0.7.2-r3.apk
2025-02-22 13:17
6.5K
qtmir-0.7.2-r3.apk
2025-02-22 13:17
468K
qtile-pyc-0.30.0-r0.apk
2025-02-10 22:08
837K
qtile-0.30.0-r0.apk
2025-02-10 22:08
436K
qt6ct-0.9-r3.apk
2024-12-09 20:40
182K
qt5ct-dev-1.8-r0.apk
2024-10-25 21:08
1.5K
qt5ct-1.8-r0.apk
2024-10-25 21:08
210K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 21:08
3.9K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 21:08
12K
qt-jdenticon-doc-0.3.1-r0.apk
2024-10-25 21:08
2.2K
qt-jdenticon-0.3.1-r0.apk
2024-10-25 21:08
23K
qsynth-doc-1.0.2-r0.apk
2024-10-25 21:08
4.4K
qsynth-1.0.2-r0.apk
2024-10-25 21:08
402K
qstardict-doc-2.0.2-r1.apk
2024-11-24 06:46
11K
qstardict-2.0.2-r1.apk
2024-11-24 06:46
430K
qsstv-9.5.8-r2.apk
2024-10-25 21:08
826K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 21:08
62K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 21:08
54K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 21:08
170K
qperf-doc-0.4.11-r1.apk
2024-10-25 21:08
5.5K
qperf-0.4.11-r1.apk
2024-10-25 21:08
31K
qpdfview-doc-0.5-r2.apk
2025-01-29 20:44
4.2K
qpdfview-0.5-r2.apk
2025-01-29 20:44
965K
qownnotes-lang-23.6.6-r0.apk
2024-10-25 21:08
4.4M
qownnotes-23.6.6-r0.apk
2024-10-25 21:08
2.2M
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 21:08
25K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 21:08
6.8K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 21:08
1.5K
qml-box2d-0_git20180406-r0.apk
2024-10-25 21:08
113K
qgis-server-3.34.15-r0.apk
2025-01-25 08:04
1.5M
qgis-lang-3.34.15-r0.apk
2025-01-25 08:04
31M
qgis-grass-3.34.15-r0.apk
2025-01-25 08:04
1.3M
qgis-doc-3.34.15-r0.apk
2025-01-25 08:04
3.0K
qgis-dev-3.34.15-r0.apk
2025-01-25 08:04
3.3M
qgis-3.34.15-r0.apk
2025-01-25 08:04
43M
qflipper-gui-1.3.3-r1.apk
2024-10-25 21:08
1.0M
qflipper-1.3.3-r1.apk
2024-10-25 21:08
411K
qdjango-dev-0.6.2-r1.apk
2024-10-25 21:08
14K
qdjango-0.6.2-r1.apk
2024-10-25 21:08
88K
qbittorrent-cli-2.1.0-r2.apk
2025-02-10 04:38
5.1M
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 21:08
810K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 21:08
113K
pyradio-0.9.3.11-r0.apk
2024-10-25 21:08
871K
pypykatz-pyc-0.6.10-r0.apk
2024-10-25 21:08
708K
pypykatz-0.6.10-r0.apk
2024-10-25 21:08
318K
pyonji-0.1.0-r2.apk
2025-02-10 04:38
2.6M
pympress-pyc-1.8.5-r1.apk
2024-10-25 21:08
182K
pympress-lang-1.8.5-r1.apk
2024-10-25 21:08
56K
pympress-doc-1.8.5-r1.apk
2024-10-25 21:08
348K
pympress-1.8.5-r1.apk
2024-10-25 21:08
181K
pyinfra-pyc-3.2-r0.apk
2025-01-25 08:04
349K
pyinfra-3.2-r0.apk
2025-01-25 08:04
186K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 21:08
61K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 21:08
45K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 21:08
8.0K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 21:08
15K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-10-25 21:08
49K
py3-zope-configuration-5.0.1-r2.apk
2024-10-25 21:08
39K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 21:08
29K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 21:08
45K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 10:41
68K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 10:41
52K
py3-youtube-search-pyc-1.6.6-r4.apk
2024-10-25 21:08
96K
py3-youtube-search-1.6.6-r4.apk
2024-10-25 21:08
79K
py3-yosys-0.42-r0.apk
2024-10-25 21:08
1.8K
py3-yara-4.5.1-r0.apk
2024-10-25 21:08
16K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 21:08
47K
py3-yapsy-1.12.2-r7.apk
2024-10-25 21:08
32K
py3-xsdata-pyc-24.12-r0.apk
2024-12-23 22:55
389K
py3-xsdata-24.12-r0.apk
2024-12-23 22:55
189K
py3-xlwt-pyc-1.3.0-r9.apk
2024-10-25 21:08
166K
py3-xlwt-1.3.0-r9.apk
2024-10-25 21:08
95K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 14:31
312K
py3-xapp-2.4.2-r0.apk
2024-11-12 12:04
34K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 16:13
7.1K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 16:13
12K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 21:08
25K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 21:08
13K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 21:08
111K
py3-wstools-0.4.10-r7.apk
2024-10-25 21:08
53K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 21:08
28K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 21:08
17K
py3-winacl-pyc-0.1.9-r0.apk
2024-10-25 21:08
132K
py3-winacl-0.1.9-r0.apk
2024-10-25 21:08
84K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 21:08
14K
py3-wifi-0.3.8-r7.apk
2024-10-25 21:08
13K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 07:49
12K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 07:49
22K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 21:08
13K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 21:08
7.6K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 21:08
20K
py3-wbdata-1.0.0-r1.apk
2024-10-25 21:08
18K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 21:08
80K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 21:08
41K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 21:08
2.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 21:08
4.6K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
2024-10-25 21:08
12K
py3-virtualenvwrapper-6.1.0-r1.apk
2024-10-25 21:08
22K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 22:14
13K
py3-venusian-3.1.1-r0.apk
2024-12-07 22:14
14K
py3-vdf-pyc-3.4-r1.apk
2024-10-25 21:08
17K
py3-vdf-3.4-r1.apk
2024-10-25 21:08
11K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 21:08
8.6K
py3-vatnumber-1.2-r9.apk
2024-10-25 21:08
19K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 21:08
2.8K
py3-utc-0.0.3-r9.apk
2024-10-25 21:08
3.5K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 21:08
15K
py3-us-3.2.0-r0.apk
2024-10-25 21:08
14K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 21:08
25K
py3-urlobject-2.4.3-r9.apk
2024-10-25 21:08
15K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 21:08
8.7K
py3-uptime-3.0.1-r9.apk
2024-10-25 21:08
9.6K
py3-unoconv-0.9.0-r2.apk
2024-10-25 21:08
26K
py3-unidns-pyc-0.0.1-r2.apk
2024-10-25 21:08
22K
py3-unidns-examples-0.0.1-r2.apk
2024-10-25 21:08
2.7K
py3-unidns-0.0.1-r2.apk
2024-10-25 21:08
14K
py3-unicrypto-pyc-0.0.10-r2.apk
2024-10-25 21:08
94K
py3-unicrypto-0.0.10-r2.apk
2024-10-25 21:08
61K
py3-unicorn-hat-2.1.2-r6.apk
2024-11-06 10:41
16K
py3-unearth-pyc-0.17.2-r0.apk
2024-10-25 21:08
82K
py3-unearth-0.17.2-r0.apk
2024-10-25 21:08
41K
py3-uc-micro-py-1.0.2-r1.apk
2024-10-25 21:08
9.1K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 21:08
6.8K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 21:08
2.2K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 21:08
5.7K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 21:08
16K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 21:08
11K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 21:08
14K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 21:08
9.9K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 21:08
39K
py3-twiggy-0.5.1-r4.apk
2024-10-25 21:08
24K
py3-truststore-pyc-0.10.1-r0.apk
2025-02-10 04:38
26K
py3-truststore-0.10.1-r0.apk
2025-02-10 04:38
17K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 21:08
55K
py3-trivup-0.12.2-r2.apk
2024-10-25 21:08
34K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 21:08
8.8K
py3-translationstring-1.4-r4.apk
2024-10-25 21:08
9.2K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 21:08
129K
py3-transitions-0.9.2-r0.apk
2024-10-25 21:08
98K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 21:08
236K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 21:08
280K
py3-tokenizers-pyc-0.21.0-r0.apk
2024-11-27 20:54
29K
py3-tokenizers-0.21.0-r0.apk
2024-11-27 20:54
1.4M
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 21:08
275K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 21:08
179K
py3-tls_parser-pyc-2.0.1-r1.apk
2024-10-25 21:08
17K
py3-tls_parser-2.0.1-r1.apk
2024-10-25 21:08
9.2K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 21:08
28K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 21:08
2.8K
py3-timeago-1.0.16-r0.apk
2024-10-25 21:08
24K
py3-tidalapi-pyc-0.7.4-r1.apk
2024-10-25 21:08
62K
py3-tidalapi-0.7.4-r1.apk
2024-10-25 21:08
36K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 21:08
6.4K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 21:08
6.0K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 21:08
9.0K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 21:08
10K
py3-tg-pyc-0.19.0-r5.apk
2024-10-25 21:08
81K
py3-tg-0.19.0-r5.apk
2024-10-25 21:08
73K
py3-textual-pyc-0.87.1-r0.apk
2024-12-07 21:22
1.1M
py3-textual-0.87.1-r0.apk
2024-12-07 21:22
567K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 21:08
16K
py3-testresources-2.0.1-r6.apk
2024-10-25 21:08
17K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 21:08
21K
py3-teletype-1.3.4-r3.apk
2024-10-25 21:08
15K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 21:08
31K
py3-telemetrix-1.20-r3.apk
2024-10-25 21:08
21K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 21:08
13K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 21:08
9.2K
py3-telegram-pyc-0.18.0-r3.apk
2024-10-25 21:08
21K
py3-telegram-bot-pyc-21.10-r0.apk
2025-02-22 13:17
724K
py3-telegram-bot-21.10-r0.apk
2025-02-22 13:17
454K
py3-telegram-0.18.0-r3.apk
2024-10-25 21:08
14K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 21:08
52K
py3-tasklib-2.5.1-r2.apk
2024-10-25 21:08
23K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 21:08
6.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 21:08
6.9K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
2024-10-25 21:08
5.6K
py3-synapse-auto-accept-invite-1.2.0-r0.apk
2024-10-25 21:08
9.8K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 21:08
2.1K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 21:08
2.5M
py3-svgpath-pyc-6.3-r3.apk
2024-10-25 21:08
22K
py3-svgpath-6.3-r3.apk
2024-10-25 21:08
17K
py3-stringcase-pyc-1.2.0-r8.apk
2024-10-25 21:08
3.9K
py3-stringcase-1.2.0-r8.apk
2024-10-25 21:08
4.8K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 21:08
10K
py3-sstash-0.17-r9.apk
2024-10-25 21:08
7.7K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-07 00:03
41K
py3-sqlmodel-0.0.22-r1.apk
2024-12-07 00:03
26K
py3-spotipy-pyc-2.24.0-r1.apk
2024-10-25 21:08
50K
py3-spotipy-2.24.0-r1.apk
2024-10-25 21:08
30K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 08:51
219K
py3-spnego-0.11.2-r0.apk
2025-01-16 08:51
118K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 21:08
6.3K
py3-spinners-0.0.24-r5.apk
2024-10-25 21:08
6.1K
py3-spin-pyc-0.8-r0.apk
2024-10-25 21:08
24K
py3-spin-0.8-r0.apk
2024-10-25 21:08
19K
py3-spidev-3.6-r1.apk
2024-10-25 21:08
13K
py3-sphobjinv-pyc-2.3.1.2-r0.apk
2024-12-28 19:13
51K
py3-sphobjinv-2.3.1.2-r0.apk
2024-12-28 19:13
39K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 21:08
5.7K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 21:08
6.1K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 21:08
4.9K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 21:08
7.3K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
2024-10-25 21:08
19K
py3-sphinxcontrib-spelling-8.0.0-r3.apk
2024-10-25 21:08
15K
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk
2024-10-25 21:08
5.5K
py3-sphinxcontrib-slide-1.0.0-r3.apk
2024-10-25 21:08
5.0K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 21:08
9.3K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 21:08
7.6K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 21:08
20K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 21:08
24K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 21:08
16K
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk
2024-10-25 21:08
17K
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 21:08
8.7K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 21:08
3.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 21:08
4.1K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 21:08
7.9K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 21:08
12K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 21:08
15K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 21:08
44K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 21:08
21K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 21:08
34K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 21:08
4.3K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 21:08
18K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 21:08
15K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 21:08
11K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 21:08
7.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 21:08
7.9K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 21:08
6.6K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 21:08
17K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 21:08
3.3K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 21:08
3.9K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 21:08
3.5K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 21:08
9.1K
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
2024-12-06 23:57
16K
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
2024-12-06 23:57
12K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 21:08
34K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 21:08
18K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 21:08
4.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 21:08
5.7K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 21:08
9.2K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 21:08
7.5K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 21:08
4.1K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 21:08
5.7K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 21:08
12K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 21:08
9.0K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 21:08
9.3K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 21:08
7.6K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 21:08
2.2K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 21:08
8.8K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 21:08
5.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 21:08
2.4M
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 21:08
2.5K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 21:08
30K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 21:08
43K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 21:08
81K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 21:08
1.8K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 21:08
65K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 21:08
2.3K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 21:08
1.2M
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 21:08
2.0K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 21:08
11K
py3-sphinx-autodoc-typehints-pyc-3.0.1-r0.apk
2025-01-25 08:04
29K
py3-sphinx-autodoc-typehints-3.0.1-r0.apk
2025-01-25 08:04
20K
py3-sphinx-autoapi-pyc-3.6.0-r0.apk
2025-02-22 13:17
59K
py3-sphinx-autoapi-3.6.0-r0.apk
2025-02-22 13:17
31K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 21:08
22K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 21:08
14K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 21:08
44K
py3-spake2-0.9-r0.apk
2024-10-25 21:08
30K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 21:08
14K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 21:08
11K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 21:08
27K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 21:08
17K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-02 23:54
95K
py3-soappy-0.52.30-r0.apk
2024-12-02 23:54
47K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 21:08
26K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 21:08
15K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 21:08
729K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 21:08
5.8K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 21:08
381K
py3-slidge-style-parser-pyc-0.1.8-r0.apk
2024-10-25 21:08
1.9K
py3-slidge-style-parser-0.1.8-r0.apk
2024-10-25 21:08
177K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 21:08
8.1K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 21:08
7.5K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 21:08
12K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 21:08
7.9K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 21:08
157K
py3-simplesat-0.8.2-r0.apk
2024-10-25 21:08
214K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 21:08
5.8K
py3-simplematch-1.4-r1.apk
2024-10-25 21:08
8.0K
py3-simber-pyc-0.2.6-r4.apk
2024-10-25 21:08
16K
py3-simber-0.2.6-r4.apk
2024-10-25 21:08
12K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 21:08
79K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 21:08
7.2K
py3-shodan-1.31.0-r1.apk
2024-10-25 21:08
44K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 16:13
55K
py3-sh-2.1.0-r0.apk
2024-11-01 16:13
38K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 21:08
6.0K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 21:08
5.4K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 21:08
42K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 21:08
2.5M
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 21:08
4.9K
py3-scs-3.2.3-r4.apk
2024-10-25 21:08
94K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 21:08
482K
py3-scrapy-2.11.1-r1.apk
2024-10-25 21:08
240K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 21:08
74K
py3-scour-0.38.2-r1.apk
2024-10-25 21:08
56K
py3-schema-pyc-0.7.5-r4.apk
2024-10-25 21:08
18K
py3-schema-0.7.5-r4.apk
2024-10-25 21:08
18K
py3-rtree-pyc-1.3.0-r0.apk
2025-01-04 06:20
44K
py3-rtree-1.3.0-r0.apk
2025-01-04 06:20
25K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 21:08
24K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 21:08
2.2K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 21:08
12K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 21:08
6.6K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 21:08
6.1K
py3-rst-pyc-0.1-r9.apk
2024-10-25 21:08
6.1K
py3-rst-0.1-r9.apk
2024-10-25 21:08
5.6K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 21:08
16K
py3-rpio-0.10.1-r8.apk
2024-10-25 21:08
35K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 21:08
54K
py3-rospkg-1.2.9-r5.apk
2024-10-25 21:08
29K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 21:08
91K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 21:08
47K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 21:08
11K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 21:08
13K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 21:08
40K
py3-rich-click-1.7.3-r1.apk
2024-10-25 21:08
31K
py3-rfc3987-pyc-1.3.8-r6.apk
2024-10-25 21:08
11K
py3-rfc3987-1.3.8-r6.apk
2024-10-25 21:08
21K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 21:08
12K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 21:08
13K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 21:08
6.6K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 21:08
5.5K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 18:24
11K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 18:24
12K
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-20 03:43
94K
py3-requests-cache-1.2.1-r1.apk
2024-11-20 03:43
50K
py3-remind-pyc-0.19.1-r0.apk
2024-10-25 21:08
23K
py3-remind-0.19.1-r0.apk
2024-10-25 21:08
25K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 21:08
54K
py3-redmine-2.5.0-r0.apk
2024-10-25 21:08
37K
py3-recurring-ical-events-pyc-3.5.2-r0.apk
2025-02-22 13:17
48K
py3-recurring-ical-events-3.5.2-r0.apk
2025-02-22 13:17
50K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 21:08
18K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 21:08
12K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 21:08
50K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 21:08
5.1K
py3-radon-6.0.1-r2.apk
2024-10-25 21:08
32K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 21:08
15K
py3-rabbit-1.1.0-r8.apk
2024-10-25 21:08
11K
py3-queuelib-pyc-1.7.0-r0.apk
2024-10-25 21:08
25K
py3-queuelib-1.7.0-r0.apk
2024-10-25 21:08
13K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 21:08
7.8K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 21:08
8.8K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 21:08
25K
py3-qt.py-1.3.10-r1.apk
2024-10-25 21:08
33K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 21:08
180K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 21:08
56K
py3-qpageview-0.6.2-r1.apk
2024-10-25 21:08
98K
py3-qgis-3.34.15-r0.apk
2025-01-25 08:04
19M
py3-qbittorrent-api-pyc-2024.12.71-r0.apk
2024-12-23 22:41
94K
py3-qbittorrent-api-doc-2024.12.71-r0.apk
2024-12-23 22:41
31K
py3-qbittorrent-api-2024.12.71-r0.apk
2024-12-23 22:41
57K
py3-qasync-0.19.0-r2.apk
2024-10-25 21:08
37K
py3-pyzor-pyc-1.0.0-r11.apk
2024-10-25 21:08
54K
py3-pyzor-1.0.0-r11.apk
2024-10-25 21:08
40K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 22:46
40K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 22:46
23K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 21:08
293K
py3-python-stdnum-1.20-r0.apk
2024-10-25 21:08
806K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 21:08
8.4K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 21:08
2.3K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 21:08
8.6K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-10-25 21:08
68K
py3-python-iptables-1.0.1-r1.apk
2024-10-25 21:08
38K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 21:08
9.4K
py3-python-archive-0.2-r7.apk
2024-10-25 21:08
7.4K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 21:08
25K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 21:08
20K
py3-pytest-regtest-pyc-2.3.2-r1.apk
2025-02-22 13:17
30K
py3-pytest-regtest-2.3.2-r1.apk
2025-02-22 13:17
16K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 21:08
7.9K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 21:08
10K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 21:08
22K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 21:08
22K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 21:08
2.8K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 21:08
4.5K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 21:08
7.0K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 21:08
5.9K
py3-pyte-pyc-0.8.2-r2.apk
2024-10-25 21:08
39K
py3-pyte-0.8.2-r2.apk
2024-10-25 21:08
30K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 21:08
6.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 21:08
2.8K
py3-pytap2-2.3.0-r0.apk
2024-10-25 21:08
7.0K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 20:29
2.9K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 20:29
37K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 23:09
68K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 23:09
36K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 21:08
97K
py3-pystache-0.6.5-r1.apk
2024-10-25 21:08
68K
py3-pysrt-pyc-1.1.2-r4.apk
2024-10-25 21:08
23K
py3-pysrt-1.1.2-r4.apk
2024-10-25 21:08
26K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 21:08
63K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 21:08
56K
py3-pysonic-pyc-1.0.2-r0.apk
2025-02-22 13:17
32K
py3-pysonic-1.0.2-r0.apk
2025-02-22 13:17
35K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 21:08
78K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 21:08
44K
py3-pysequoia-pyc-0.1.20-r3.apk
2024-10-25 21:08
1.9K
py3-pysequoia-0.1.20-r3.apk
2024-10-25 21:08
1.4M
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 21:08
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 21:08
14K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 21:08
26K
py3-pyroma-4.2-r0.apk
2024-10-25 21:08
22K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 21:08
18K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 21:08
9.6K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 21:08
47K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 21:08
4.3K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 21:08
37K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 21:08
90K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 21:08
2.1K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 21:08
52K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 21:08
42K
py3-pyparted-3.13.0-r1.apk
2024-10-25 21:08
74K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 05:42
224K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-22 13:17
6.5K
py3-pymsteams-0.2.5-r0.apk
2025-02-22 13:17
12K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 21:08
9.8K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 21:08
9.2K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 21:08
32K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 21:08
17K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 21:08
31K
py3-pymata4-1.15-r4.apk
2024-10-25 21:08
23K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 21:08
29K
py3-pymata-2.20-r4.apk
2024-10-25 21:08
22K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 21:08
32K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 21:08
52K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 21:08
35K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 21:08
18K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 21:08
8.9K
py3-pylru-1.2.1-r1.apk
2024-10-25 21:08
17K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 21:08
9.4K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 21:08
20K
py3-pyinstrument-pyc-5.0.1-r0.apk
2025-01-25 08:04
100K
py3-pyinstrument-5.0.1-r0.apk
2025-01-25 08:04
108K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 21:08
502K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 21:08
1.9M
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 21:08
10K
py3-pygtail-0.14.0-r3.apk
2024-10-25 21:08
15K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 21:08
5.1K
py3-pygpgme-0.3.1-r9.apk
2024-10-25 21:08
34K
py3-pyglm-2.7.3-r0.apk
2024-11-06 10:41
1.4M
py3-pyglet-pyc-2.1.0-r0.apk
2025-01-12 10:01
1.6M
py3-pyglet-2.1.0-r0.apk
2025-01-12 10:01
870K
py3-pygelbooru-pyc-0.5.0-r4.apk
2024-10-25 21:08
11K
py3-pygelbooru-0.5.0-r4.apk
2024-10-25 21:08
8.2K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 21:08
13K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 21:08
3.6K
py3-pydes-2.0.1-r5.apk
2024-10-25 21:08
11K
py3-pycosat-0.6.6-r2.apk
2024-10-25 21:08
42K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 21:08
3.7K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 21:08
5.5K
py3-pycaption-2.2.15-r0.apk
2024-10-25 21:08
360K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 21:08
17K
py3-pybars3-0.9.7-r6.apk
2024-10-25 21:08
15K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 21:08
45K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 21:08
35K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 21:08
92K
py3-pyatem-0.5.0-r4.apk
2024-10-25 21:08
52K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 21:08
11K
py3-py-radix-0.10.0-r10.apk
2024-10-25 21:08
17K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 21:08
38K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 21:08
21K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 12:36
28K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 12:36
17K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 21:18
12K
py3-protego-0.3.1-r0.apk
2024-11-30 21:18
9.3K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 21:08
9.9K
py3-proglog-0.1.10-r2.apk
2024-10-25 21:08
7.4K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 21:08
5.0K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 21:08
2.6K
py3-print-color-0.4.6-r0.apk
2024-10-25 21:08
8.9K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 21:08
16K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 21:08
14K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 22:08
17K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 22:08
16K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 20:44
111K
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk
2025-01-29 07:49
25K
py3-poetry-dynamic-versioning-1.7.1-r0.apk
2025-01-29 07:49
20K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 21:08
25K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 21:08
15K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 07:28
33K
py3-pltable-1.1.0-r1.apk
2024-11-13 07:28
19K
py3-plexapi-pyc-4.16.1-r0.apk
2025-01-12 18:48
306K
py3-plexapi-doc-4.16.1-r0.apk
2025-01-12 18:48
84K
py3-plexapi-4.16.1-r0.apk
2025-01-12 18:48
153K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 21:08
8.5K
py3-playsound-1.3.0-r1.apk
2024-10-25 21:08
6.9K
py3-pivy-0.6.9-r2.apk
2024-11-24 06:46
1.9M
py3-piper-tts-2023.11.14.2-r10.apk
2025-02-22 13:17
41K
py3-piper-phonemize-pyc-2023.11.14.4-r6.apk
2025-02-22 13:17
3.3K
py3-piper-phonemize-2023.11.14.4-r6.apk
2025-02-22 13:17
127K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 21:08
4.7K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 21:08
7.0K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 21:08
36K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 21:08
40K
py3-pika-pyc-1.3.2-r1.apk
2024-10-25 21:08
246K
py3-pika-1.3.2-r1.apk
2024-10-25 21:08
143K
py3-pigpio-79-r4.apk
2024-10-25 21:08
93K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 21:08
5.4K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 21:08
7.5K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 21:08
34K
py3-piccata-2.0.3-r1.apk
2024-10-25 21:08
20K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 21:08
17K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 21:08
2.2K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 21:08
13K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 21:08
11K
py3-phpserialize-1.3-r8.apk
2024-10-25 21:08
8.9K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 21:08
13K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 21:08
9.8K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 21:08
147K
py3-pelican-4.9.1-r2.apk
2024-10-25 21:08
234K
py3-pdal-pyc-3.4.5-r0.apk
2024-11-05 22:52
13K
py3-pdal-3.4.5-r0.apk
2024-11-05 22:52
155K
py3-pbs-installer-pyc-2024.12.19-r0.apk
2024-12-31 02:10
57K
py3-pbs-installer-2024.12.19-r0.apk
2024-12-31 02:10
51K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 21:08
7.1K
py3-pbkdf2-1.3-r7.apk
2024-10-25 21:08
6.3K
py3-pathvalidate-pyc-3.2.3-r0.apk
2025-01-06 11:40
33K
py3-pathvalidate-3.2.3-r0.apk
2025-01-06 11:40
19K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 21:08
13K
py3-pam-2.0.2-r2.apk
2024-10-25 21:08
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 21:08
12K
py3-padacioso-0.2.1-r0.apk
2024-10-25 21:08
11K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 21:08
4.0K
py3-pacparser-1.4.5-r1.apk
2024-10-25 21:08
368K
py3-owslib-pyc-0.32.1-r0.apk
2025-01-25 08:04
423K
py3-owslib-0.32.1-r0.apk
2025-01-25 08:04
194K
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk
2024-11-21 14:31
12K
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk
2024-11-21 14:31
11K
py3-ovos-workshop-pyc-3.1.1-r0.apk
2024-11-25 13:41
156K
py3-ovos-workshop-3.1.1-r0.apk
2024-11-25 13:41
87K
py3-ovos-utils-pyc-0.5.4-r0.apk
2024-11-25 13:41
124K
py3-ovos-utils-0.5.4-r0.apk
2024-11-25 13:41
69K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 21:08
5.4K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 21:08
9.5K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 21:08
11K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 21:08
12K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 21:08
4.1K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 21:08
8.3K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 21:08
6.8K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 21:08
10K
py3-ovos-plugin-manager-pyc-0.6.0-r0.apk
2024-11-21 14:31
178K
py3-ovos-plugin-manager-0.6.0-r0.apk
2024-11-21 14:31
92K
py3-ovos-phal-plugin-system-pyc-1.0.1-r0.apk
2024-11-21 14:31
9.6K
py3-ovos-phal-plugin-system-1.0.1-r0.apk
2024-11-21 14:31
15K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 14:31
9.7K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 14:31
12K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 14:31
11K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 14:31
95K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 21:08
4.4K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 21:08
4.5K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk
2024-11-21 14:31
5.0K
py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk
2024-11-21 14:31
8.6K
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk
2024-10-25 21:08
3.7K
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk
2024-10-25 21:08
8.3K
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk
2024-10-25 21:08
8.9K
py3-ovos-ocp-news-plugin-0.0.4-r0.apk
2024-10-25 21:08
11K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 21:08
3.4K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 21:08
8.1K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 21:08
104K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 21:08
47K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 21:08
86K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 21:08
550K
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk
2024-10-25 21:08
4.8K
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk
2024-10-25 21:08
9.0K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 21:08
437K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 21:08
358K
py3-ovos-config-pyc-1.0.0-r0.apk
2024-11-25 13:41
34K
py3-ovos-config-1.0.0-r0.apk
2024-11-25 13:41
43K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 21:08
164K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 21:08
103K
py3-ovos-bus-client-pyc-1.0.4-r0.apk
2024-11-21 14:31
81K
py3-ovos-bus-client-1.0.4-r0.apk
2024-11-21 14:31
45K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 21:08
91K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 21:08
46K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 21:08
8.2K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 21:08
10K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 21:08
17K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 21:08
12K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 21:08
42K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 21:08
498K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 21:08
10K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 21:08
8.2K
py3-opendht-3.1.11-r0.apk
2025-01-27 21:56
144K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 21:08
12K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 21:08
7.7K
py3-onnxruntime-pyc-1.20.2-r0.apk
2025-02-22 13:17
1.3M
py3-onnxruntime-1.20.2-r0.apk
2025-02-22 13:17
10M
py3-okonomiyaki-pyc-2.0.0-r0.apk
2024-10-25 21:08
243K
py3-okonomiyaki-2.0.0-r0.apk
2024-10-25 21:08
7.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 21:08
78K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 21:08
4.9M
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 03:04
28K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 03:04
21K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 21:08
8.6K
py3-ntplib-0.4.0-r5.apk
2024-10-25 21:08
7.4K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 21:08
32K
py3-nptyping-2.5.0-r3.apk
2024-10-25 21:08
21K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 21:08
5.7K
py3-notifymail-1.1-r8.apk
2024-10-25 21:08
7.6K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 21:08
9.9K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 21:08
9.4K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 21:08
25K
py3-nmap-0.7.1-r4.apk
2024-10-25 21:08
20K
py3-netmiko-pyc-4.5.0-r0.apk
2025-02-13 08:33
348K
py3-netmiko-4.5.0-r0.apk
2025-02-13 08:33
179K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 21:08
9.2K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 21:08
171K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 21:08
107K
py3-ncclient-0.6.13-r5.apk
2024-10-25 21:08
68K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 21:08
9.9K
py3-natpmp-1.3.2-r1.apk
2024-10-25 21:08
9.4K
py3-mss-10.0.0-r0.apk
2024-11-14 14:10
51K
py3-msldap-pyc-0.5.13-r0.apk
2025-02-22 13:17
316K
py3-msldap-0.5.13-r0.apk
2025-02-22 13:17
137K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 21:08
156K
py3-moviepy-1.0.3-r6.apk
2024-10-25 21:08
94K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 21:08
8.2K
py3-more-properties-1.1.1-r3.apk
2024-10-25 21:08
7.4K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 21:08
36K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 21:08
25K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 21:08
74K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 21:08
46K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 23:25
34K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 23:25
28K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 21:08
37K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 21:08
25K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 21:08
48K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 21:08
25K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 21:08
9.7K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 21:08
2.4K
py3-mnemonic-0.21-r0.apk
2024-10-25 21:08
95K
py3-mistletoe-pyc-1.2.1-r2.apk
2024-10-25 21:08
92K
py3-mistletoe-1.2.1-r2.apk
2024-10-25 21:08
44K
py3-minio-pyc-7.2.13-r0.apk
2024-12-25 19:58
160K
py3-minio-7.2.13-r0.apk
2024-12-25 19:58
76K
py3-minikerberos-pyc-0.4.4-r1.apk
2024-10-25 21:08
264K
py3-minikerberos-0.4.4-r1.apk
2024-10-25 21:08
129K
py3-minidump-pyc-0.0.24-r0.apk
2024-10-25 21:08
130K
py3-minidump-0.0.24-r0.apk
2024-10-25 21:08
65K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 19:52
23K
py3-minidb-2.0.8-r0.apk
2024-11-13 19:52
10K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 17:04
41K
py3-milc-1.9.1-r0.apk
2025-01-25 17:04
26K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 21:08
296K
py3-migen-0.9.2-r2.apk
2024-10-25 21:08
143K
py3-mbedtls-pyc-2.10.1-r2.apk
2024-10-25 21:08
27K
py3-mbedtls-2.10.1-r2.apk
2024-10-25 21:08
825K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-22 13:17
85K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 21:08
4.5K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 21:08
5.3K
py3-marshmallow-3.26.1-r0.apk
2025-02-22 13:17
48K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 21:08
75K
py3-markdown2-2.5.0-r0.apk
2024-10-25 21:08
47K
py3-marisa-trie-1.2.1-r0.apk
2024-11-11 14:12
125K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 21:08
54K
py3-manuel-pyc-1.13.0-r0.apk
2024-11-30 21:13
26K
py3-manuel-1.13.0-r0.apk
2024-11-30 21:13
39K
py3-mando-pyc-0.7.1-r3.apk
2024-10-25 21:08
36K
py3-mando-doc-0.7.1-r3.apk
2024-10-25 21:08
4.2K
py3-mando-0.7.1-r3.apk
2024-10-25 21:08
22K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 21:08
123K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 21:08
186K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 21:08
2.0K
py3-lzo-1.16-r1.apk
2024-10-25 21:08
16K
py3-ly-pyc-0.9.8-r1.apk
2024-10-25 21:08
355K
py3-ly-doc-0.9.8-r1.apk
2024-10-25 21:08
8.1K
py3-ly-0.9.8-r1.apk
2024-10-25 21:08
187K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 21:08
51K
py3-lunr-0.6.2-r4.apk
2024-10-25 21:08
32K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 21:08
2.6K
py3-luhn-0.2.0-r9.apk
2024-10-25 21:08
4.0K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 21:08
107K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 21:08
69K
py3-lsp-mypy-pyc-0.7.0-r0.apk
2025-02-22 13:17
13K
py3-lsp-mypy-0.7.0-r0.apk
2025-02-22 13:17
13K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 21:08
6.4K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 21:08
7.5K
py3-logtop-pyc-0.7-r0.apk
2024-10-25 21:08
4.1K
py3-logtop-0.7-r0.apk
2024-10-25 21:08
17K
py3-logfury-pyc-1.0.1-r0.apk
2024-10-25 21:08
7.1K
py3-logfury-doc-1.0.1-r0.apk
2024-10-25 21:08
2.4K
py3-logfury-1.0.1-r0.apk
2024-10-25 21:08
7.9K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 21:08
3.1K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 21:08
4.2K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 23:22
30K
py3-livestream-2.1.0-r0.apk
2024-11-25 23:22
766K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 21:08
112K
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 21:08
4.7M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 21:08
2.2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 21:08
58K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 21:08
2.4M
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 21:08
713K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 21:08
675K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 21:08
57M
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 21:08
230K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 21:08
7.6K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 21:08
221K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 21:08
45K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 21:08
19M
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 21:08
208K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 21:08
112K
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 21:08
1.8M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 21:08
10M
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 21:08
500K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 21:08
934K
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 21:08
1.9M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 21:08
5.6M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 21:08
1.1M
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 21:08
1.6K
py3-linux-procfs-pyc-0.7.3-r0.apk
2025-01-13 22:19
22K
py3-linux-procfs-0.7.3-r0.apk
2025-01-13 22:19
14K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 21:08
23K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 21:08
21K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 19:58
71K
py3-limits-3.14.1-r0.apk
2024-12-25 19:58
33K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 21:08
25K
py3-librtmp-0.3.0-r6.apk
2024-10-25 21:08
32K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 21:08
30K
py3-libnacl-2.1.0-r1.apk
2024-10-25 21:08
20K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 21:08
33K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 21:08
28K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 22:36
50K
py3-liblarch-3.2.0-r6.apk
2024-12-08 22:36
30K
py3-libiio-0.25-r2.apk
2024-10-25 21:08
13K
py3-libguestfs-1.52.0-r1.apk
2024-10-25 21:08
175K
py3-libcec-rpi-6.0.2-r4.apk
2024-10-25 21:08
102K
py3-libacl-0.7.0-r2.apk
2024-10-25 21:08
25K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 21:08
9.5K
py3-lib_users-0.15-r4.apk
2024-10-25 21:08
16K
py3-levenshtein-pyc-0.26.1-r0.apk
2024-12-19 06:16
9.3K
py3-levenshtein-0.26.1-r0.apk
2024-12-19 06:16
175K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-10-25 21:08
35K
py3-latex2mathml-3.77.0-r1.apk
2024-10-25 21:08
72K
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 21:08
3.0M
py3-language-data-1.3.0-r0.apk
2024-12-01 21:08
5.0M
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 21:08
110K
py3-langcodes-3.3.0-r2.apk
2024-10-25 21:08
174K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 21:08
9.5K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 21:08
8.4K
py3-kerberos-1.3.1-r5.apk
2024-10-25 21:08
16K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 21:08
13K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 21:08
2.0K
py3-keepalive-0.5-r5.apk
2024-10-25 21:08
9.0K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 21:08
245K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 21:08
125K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 21:08
9.3K
py3-junit-xml-1.9-r3.apk
2024-10-25 21:08
8.3K
py3-jsonschema417-pyc-4.17.3-r1.apk
2024-10-25 21:08
125K
py3-jsonschema417-4.17.3-r1.apk
2024-10-25 21:08
73K
py3-json5-pyc-0.9.25-r0.apk
2024-11-30 22:08
29K
py3-json5-0.9.25-r0.apk
2024-11-30 22:08
25K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 21:08
6.1K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 21:08
5.9K
py3-jaraco.vcs-pyc-2.4.0-r0.apk
2024-10-25 21:08
15K
py3-jaraco.vcs-2.4.0-r0.apk
2024-10-25 21:08
9.8K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 23:50
8.1K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 23:50
6.7K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 21:08
9.5K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 21:08
7.6K
py3-jaraco.logging-pyc-3.3.0-r0.apk
2024-10-25 21:08
5.8K
py3-jaraco.logging-3.3.0-r0.apk
2024-10-25 21:08
6.0K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 05:57
13K
py3-janus-1.2.0-r0.apk
2024-12-13 05:57
12K
py3-itunespy-pyc-1.6-r4.apk
2024-10-25 21:08
15K
py3-itunespy-1.6-r4.apk
2024-10-25 21:08
10K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 21:08
5.3K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 21:08
6.0K
py3-itemloaders-pyc-1.3.2-r0.apk
2024-10-25 21:08
17K
py3-itemloaders-1.3.2-r0.apk
2024-10-25 21:08
13K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 21:42
13K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 21:42
11K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-10-25 21:08
9.7K
py3-iso639-lang-2.2.3-r0.apk
2024-10-25 21:08
269K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-25 08:04
67K
py3-isbnlib-3.10.14-r0.apk
2025-01-25 08:04
43K
py3-irc-pyc-20.4.1-r0.apk
2024-10-25 21:08
71K
py3-irc-20.4.1-r0.apk
2024-10-25 21:08
41K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 22:37
4.6K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 22:37
12K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 21:08
15K
py3-intervals-0.9.2-r5.apk
2024-10-25 21:08
9.4K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 21:08
25K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 21:08
10K
py3-iniparse-0.5-r7.apk
2024-10-25 21:08
19K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 21:08
3.7K
py3-infinity-1.5-r6.apk
2024-10-25 21:08
4.4K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 21:08
20K
py3-incoming-0.3.1-r8.apk
2024-10-25 21:08
13K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 21:08
243K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 21:08
229K
py3-imageio-pyc-2.35.1-r0.apk
2024-10-25 21:08
504K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 21:08
20K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 21:08
16K
py3-imageio-2.35.1-r0.apk
2024-10-25 21:08
287K
py3-igraph-pyc-0.11.8-r0.apk
2024-11-24 06:46
371K
py3-igraph-dev-0.11.8-r0.apk
2024-11-24 06:46
2.5K
py3-igraph-0.11.8-r0.apk
2024-11-24 06:46
393K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 21:08
3.3K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 21:08
4.6K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 21:08
22K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 21:08
154K
py3-hishel-pyc-0.0.33-r0.apk
2024-10-25 21:08
73K
py3-hishel-0.0.33-r0.apk
2024-10-25 21:08
34K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 21:08
107K
py3-hg-git-1.1.1-r1.apk
2024-10-25 21:08
70K
py3-hfst-3.16.0-r2.apk
2024-10-25 21:08
344K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 21:08
28K
py3-helper-2.5.0-r5.apk
2024-10-25 21:08
19K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 21:08
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 21:08
13K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 21:08
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 21:08
25K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 21:08
14K
py3-halo-0.0.31-r5.apk
2024-10-25 21:08
11K
py3-gtkspellcheck-pyc-5.0.3-r0.apk
2024-12-07 21:32
30K
py3-gtkspellcheck-5.0.3-r0.apk
2024-12-07 21:32
45K
py3-grequests-pyc-0.7.0-r2.apk
2024-10-25 21:08
5.9K
py3-grequests-0.7.0-r2.apk
2024-10-25 21:08
6.8K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 21:08
17K
py3-googletrans-3.0.0-r5.apk
2024-10-25 21:08
15K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 21:08
11K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 21:08
9.2K
py3-gnucash-5.10-r1.apk
2025-02-22 13:17
302K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 21:08
84K
py3-gls-1.3.1-r1.apk
2024-10-25 21:08
47K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 21:08
13K
py3-glob2-0.7-r6.apk
2024-10-25 21:08
10K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 21:08
227K
py3-github3-4.0.1-r1.apk
2024-10-25 21:08
128K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 21:08
14K
py3-git-versioner-7.1-r1.apk
2024-10-25 21:08
12K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 21:08
30K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 21:08
20K
py3-geoip-1.3.2-r4.apk
2024-10-25 21:08
22K
py3-gdcm-3.0.24-r0.apk
2024-10-25 21:08
659K
py3-fuzzywuzzy-pyc-0.18.0-r7.apk
2024-10-25 21:08
15K
py3-fuzzywuzzy-0.18.0-r7.apk
2024-10-25 21:08
18K
py3-furl-pyc-2.1.3-r4.apk
2024-10-25 21:08
32K
py3-furl-2.1.3-r4.apk
2024-10-25 21:08
21K
py3-funcparserlib-pyc-1.0.1-r4.apk
2024-10-25 21:08
19K
py3-funcparserlib-1.0.1-r4.apk
2024-10-25 21:08
17K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 21:08
161K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 21:08
89K
py3-fpdf-1.7.2-r5.apk
2024-10-25 21:08
40K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 21:08
9.7K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 21:08
9.0K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 21:08
7.0K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 21:08
7.9K
py3-flask-security-pyc-5.4.3-r2.apk
2024-10-25 21:08
211K
py3-flask-security-5.4.3-r2.apk
2024-10-25 21:08
267K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 21:08
59K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 21:08
40K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 21:08
20K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 21:08
115K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 07:32
6.2K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 07:32
18K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 21:08
95K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 21:08
172K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 21:08
11K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 21:08
8.2K
py3-flask-migrate-pyc-4.0.7-r0.apk
2024-10-25 21:08
18K
py3-flask-migrate-4.0.7-r0.apk
2024-10-25 21:08
13K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 21:08
3.9K
py3-flask-markdown-0.3-r8.apk
2024-10-25 21:08
5.6K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 21:08
26K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 21:08
16K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 21:08
7.9K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 21:08
5.6K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-25 08:04
47K
py3-flask-limiter-3.10.1-r0.apk
2025-01-25 08:04
27K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 21:08
3.4K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 21:08
4.1K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-10-25 21:08
11K
py3-flask-httpauth-4.8.0-r2.apk
2024-10-25 21:08
8.0K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 21:08
2.5K
py3-flask-headers-1.0-r9.apk
2024-10-25 21:08
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 21:08
2.8K
py3-flask-gzip-0.2-r8.apk
2024-10-25 21:08
3.2K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 23:57
14K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 23:57
11K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 21:08
6.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 21:08
86K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 21:08
3.3K
py3-flask-components-0.1.1-r9.apk
2024-10-25 21:08
3.9K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 21:08
4.1K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 21:08
4.8K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 21:08
18K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 21:08
13K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk
2024-10-25 21:08
11K
py3-flask-bootstrap-3.3.7.1-r8.apk
2024-10-25 21:08
450K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 21:08
5.8K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 21:08
7.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 21:08
4.1K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 21:08
5.3K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 21:08
5.0K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 21:08
5.1K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 21:08
358K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 21:08
6.5M
py3-flask-accept-pyc-0.0.6-r1.apk
2024-10-25 21:08
3.7K
py3-flask-accept-0.0.6-r1.apk
2024-10-25 21:08
5.0K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 21:08
2.2K
py3-flake8-todo-0.7-r7.apk
2024-10-25 21:08
3.6K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 21:08
3.7K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 21:08
5.3K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 21:08
4.4K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 21:08
6.7K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 21:08
5.7K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 21:08
7.1K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-10-25 21:08
5.4K
py3-flake8-isort-6.1.1-r1.apk
2024-10-25 21:08
18K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 21:08
17K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 21:08
15K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 21:08
6.0K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 21:08
6.2K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 21:08
3.3K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 21:08
18K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 22:51
7.9K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 22:51
13K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 21:08
2.6K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 21:08
5.2K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 21:08
21K
py3-firmata-1.0.3-r10.apk
2024-10-25 21:08
14K
py3-findpython-pyc-0.6.2-r0.apk
2024-10-25 21:08
30K
py3-findpython-0.6.2-r0.apk
2024-10-25 21:08
17K
py3-ffmpeg-pyc-0.2.0-r4.apk
2024-10-25 21:08
33K
py3-ffmpeg-0.2.0-r4.apk
2024-10-25 21:08
24K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 21:08
27K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 21:08
18K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 21:08
62K
py3-feedgen-1.0.0-r1.apk
2024-10-25 21:08
40K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 21:08
4.2K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 21:08
38K
py3-evohome-client-pyc-0.3.7-r4.apk
2024-10-25 21:08
27K
py3-evohome-client-0.3.7-r4.apk
2024-10-25 21:08
19K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 22:35
336K
py3-eventlet-0.38.1-r0.apk
2024-12-11 22:35
332K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 21:08
33K
py3-euclid3-0.01-r8.apk
2024-10-25 21:08
14K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 21:08
8.3K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 21:08
2.5K
py3-eradicate-2.3.0-r2.apk
2024-10-25 21:08
7.6K
py3-enzyme-pyc-0.5.1-r0.apk
2024-10-25 21:08
19K
py3-enzyme-0.5.1-r0.apk
2024-10-25 21:08
23K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 21:08
58K
py3-empy-3.3.4-r7.apk
2024-10-25 21:08
39K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 21:08
2.0K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 21:08
14K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 21:08
190K
py3-edalize-0.5.4-r0.apk
2024-10-25 21:08
123K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 21:08
3.6K
py3-ecos-2.0.11-r4.apk
2024-10-25 21:08
27K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 21:08
6.3K
py3-dweepy-0.3.0-r7.apk
2024-10-25 21:08
9.1K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 21:08
221K
py3-dunamai-pyc-1.23.0-r0.apk
2024-12-10 08:50
43K
py3-dunamai-1.23.0-r0.apk
2024-12-10 08:50
26K
py3-dt-schema-pyc-2024.11-r0.apk
2024-11-11 19:13
50K
py3-dt-schema-2024.11-r0.apk
2024-11-11 19:13
78K
py3-drf-yasg-pyc-1.21.7-r2.apk
2024-10-25 21:08
97K
py3-drf-yasg-1.21.7-r2.apk
2024-10-25 21:08
4.1M
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 21:08
18K
py3-dpath-2.2.0-r0.apk
2024-10-25 21:08
17K
py3-downloader-cli-pyc-0.3.4-r1.apk
2024-10-25 21:08
14K
py3-downloader-cli-0.3.4-r1.apk
2024-10-25 21:08
12K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 21:08
8.7K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 21:08
8.4K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 21:08
34K
py3-dominate-2.9.1-r1.apk
2024-10-25 21:08
25K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 21:08
133K
py3-doit-0.36.0-r5.apk
2024-10-25 21:08
77K
py3-dogpile.cache-pyc-1.3.3-r0.apk
2024-10-25 21:08
90K
py3-dogpile.cache-1.3.3-r0.apk
2024-10-25 21:08
53K
py3-dnslib-pyc-0.9.25-r0.apk
2024-10-25 21:08
109K
py3-dnslib-0.9.25-r0.apk
2024-10-25 21:08
52K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 21:08
5.0K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 21:08
4.0K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 21:08
32K
py3-django-suit-0.2.28-r8.apk
2024-10-25 21:08
366K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 21:08
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 21:08
15K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 21:08
49K
py3-distorm3-3.5.2-r6.apk
2024-10-25 21:08
46K
py3-discid-pyc-1.2.0-r6.apk
2024-10-25 21:08
13K
py3-discid-1.2.0-r6.apk
2024-10-25 21:08
24K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 21:08
37K
py3-dexml-0.5.1-r9.apk
2024-10-25 21:08
22K
py3-dep-logic-pyc-0.4.10-r0.apk
2024-12-15 23:01
53K
py3-dep-logic-0.4.10-r0.apk
2024-12-15 23:01
28K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 21:08
20K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 21:08
2.3K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 21:08
13K
py3-dbus-fast-pyc-2.24.4-r0.apk
2024-11-20 03:43
126K
py3-dbus-fast-doc-2.24.4-r0.apk
2024-11-20 03:43
5.4K
py3-dbus-fast-2.24.4-r0.apk
2024-11-20 03:43
548K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 21:08
4.2K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 21:08
4.4K
py3-dateparser-pyc-1.2.0-r0.apk
2024-11-23 05:07
334K
py3-dateparser-1.2.0-r0.apk
2024-11-23 05:07
197K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 21:08
14K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 21:08
11K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 21:08
36K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 21:08
27K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-10-25 21:08
1.8K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-10-25 21:08
16K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 21:08
936K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 21:08
632K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 21:13
10K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 21:13
8.7K
py3-cstruct-pyc-5.3-r1.apk
2024-10-25 21:08
36K
py3-cstruct-5.3-r1.apk
2024-10-25 21:08
22K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 21:08
279K
py3-cssutils-2.11.1-r1.apk
2024-10-25 21:08
155K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 21:08
15K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 21:08
40K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 21:08
4.7K
py3-crc16-0.1.1-r10.apk
2024-10-25 21:08
12K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 21:08
43K
py3-coreapi-2.3.3-r9.apk
2024-10-25 21:08
22K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 21:08
47K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 21:08
3.7K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 21:08
35K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 21:08
40K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 21:08
3.0K
py3-compdb-0.2.0-r8.apk
2024-10-25 21:08
23K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 21:08
7.5K
py3-columnize-0.3.11-r4.apk
2024-10-25 21:08
8.5K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 21:08
10K
py3-colorthief-0.2.1-r1.apk
2024-10-25 21:08
7.3K
py3-colander-pyc-2.0-r2.apk
2024-10-25 21:08
42K
py3-colander-2.0-r2.apk
2024-10-25 21:08
62K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 21:08
12K
py3-cobs-1.2.0-r4.apk
2024-10-25 21:08
16K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 21:08
223K
py3-cmd2-2.4.3-r2.apk
2024-10-25 21:08
139K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 21:08
9.8K
py3-clickclick-20.10.2-r4.apk
2024-10-25 21:08
8.0K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 21:08
7.9K
py3-click-threading-0.5.0-r5.apk
2024-10-25 21:08
6.3K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 21:08
4.5K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 21:08
5.1K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 21:08
14K
py3-click-completion-0.5.2-r1.apk
2024-10-25 21:08
11K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 21:08
8.7K
py3-class-doc-1.25-r1.apk
2024-10-25 21:08
6.1K
py3-cjkwrap-pyc-2.2-r4.apk
2024-10-25 21:08
5.2K
py3-cjkwrap-2.2-r4.apk
2024-10-25 21:08
4.6K
py3-ciso8601-2.3.1-r1.apk
2024-10-25 21:08
16K
py3-chameleon-pyc-4.5.4-r0.apk
2024-10-25 21:08
131K
py3-chameleon-4.5.4-r0.apk
2024-10-25 21:08
97K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 21:08
9.1K
py3-certauth-1.3.0-r1.apk
2024-10-25 21:08
8.7K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-25 08:04
43K
py3-cdio-2.1.1-r6.apk
2025-01-25 08:04
96K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 21:08
3.1K
py3-cchardet-2.1.7-r5.apk
2024-10-25 21:08
120K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 21:08
103K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 21:08
57K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 21:08
560K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 21:08
286K
py3-caldav-pyc-1.4.0-r0.apk
2024-11-09 20:07
90K
py3-caldav-1.4.0-r0.apk
2024-11-09 20:07
68K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 21:08
54K
py3-c3d-0.5.2-r1.apk
2024-10-25 21:08
32K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 21:08
16K
py3-businesstime-0.3.0-r9.apk
2024-10-25 21:08
11K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 21:08
19K
py3-bson-0.5.10-r6.apk
2024-10-25 21:08
12K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 21:08
4.3K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 21:08
4.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 21:08
3.1K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 21:08
4.6K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 21:08
5.2K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 21:08
4.7K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 21:08
5.6K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 21:08
4.8K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 21:08
7.8K
py3-bottle-session-1.0-r6.apk
2024-10-25 21:08
10K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 21:08
5.2K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 21:08
6.1K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 21:08
2.6K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 21:08
3.2K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 21:08
3.7K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 21:08
4.0K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 21:08
3.1K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 21:08
3.3K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 21:08
4.3K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 21:08
5.2K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 21:08
4.9K
py3-bookkeeper-pyc-4.17.1-r0.apk
2024-10-25 21:08
67K
py3-bookkeeper-4.17.1-r0.apk
2024-10-25 21:08
43K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 16:33
2.5M
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 16:33
149K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 16:33
68K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 21:08
18K
py3-blockchain-1.4.4-r7.apk
2024-10-25 21:08
11K
py3-bleak-0.22.3-r0.apk
2024-10-25 21:08
370K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 21:08
13K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 21:08
33K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 22:51
23K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 22:51
14K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 21:08
29K
py3-bidict-0.23.1-r1.apk
2024-10-25 21:08
28K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-25 08:04
49K
py3-bibtexparser-1.4.3-r0.apk
2025-01-25 08:04
40K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 21:08
10K
py3-bencode-4.0.0-r1.apk
2024-10-25 21:08
17K
py3-beartype-pyc-0.19.0-r0.apk
2024-10-25 21:08
596K
py3-beartype-0.19.0-r0.apk
2024-10-25 21:08
827K
py3-base58-2.1.1-r2.apk
2024-10-25 21:08
11K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 21:08
4.3K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 21:08
16K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 21:08
69K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 21:08
46K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 21:08
7.2K
py3-banal-1.0.6-r4.apk
2024-10-25 21:08
6.9K
py3-b2sdk-pyc-2.8.0-r0.apk
2025-01-27 00:25
403K
py3-b2sdk-2.8.0-r0.apk
2025-01-27 00:25
215K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 21:08
191K
py3-avro-1.11.3-r1.apk
2024-10-25 21:08
98K
py3-asysocks-pyc-0.2.13-r0.apk
2024-10-25 21:08
232K
py3-asysocks-0.2.13-r0.apk
2024-10-25 21:08
87K
py3-async-lru-pyc-2.0.4-r1.apk
2024-10-25 21:08
8.6K
py3-async-lru-2.0.4-r1.apk
2024-10-25 21:08
7.3K
py3-asyauth-pyc-0.0.21-r0.apk
2024-10-25 21:08
171K
py3-asyauth-0.0.21-r0.apk
2024-10-25 21:08
79K
py3-astral-pyc-3.2-r3.apk
2024-10-25 21:08
59K
py3-astral-3.2-r3.apk
2024-10-25 21:08
37K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 21:08
4.5K
py3-ask-0.0.8-r8.apk
2024-10-25 21:08
5.0K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 21:08
26K
py3-asif-0.3.2-r3.apk
2024-10-25 21:08
13K
py3-arcus-5.3.0-r1.apk
2024-10-25 21:08
67K
py3-apsw-pyc-3.49.0.0-r0.apk
2025-02-13 08:27
526K
py3-apsw-3.49.0.0-r0.apk
2025-02-13 08:27
817K
py3-apk3-3.0.0_rc4-r3.apk
2025-02-22 13:17
3.8K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 21:08
77K
py3-apio-0.9.5-r0.apk
2024-10-25 21:08
72K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 21:08
179K
py3-apicula-0.11.1-r1.apk
2024-10-25 21:08
8.5M
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 21:08
3.3K
py3-anyascii-0.3.2-r1.apk
2024-10-25 21:08
275K
py3-ansi2html-pyc-1.9.2-r0.apk
2024-10-25 21:08
22K
py3-ansi2html-1.9.2-r0.apk
2024-10-25 21:08
18K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 21:08
29K
py3-altgraph-0.17.4-r1.apk
2024-10-25 21:08
21K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 21:08
3.3K
py3-allfiles-1.0-r8.apk
2024-10-25 21:08
3.6K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 21:08
673K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 21:08
18K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 21:08
388K
py3-aiowinreg-pyc-0.0.12-r0.apk
2024-10-25 21:08
45K
py3-aiowinreg-0.0.12-r0.apk
2024-10-25 21:08
23K
py3-aiosmb-pyc-0.4.11-r0.apk
2024-10-25 21:08
1.1M
py3-aiosmb-0.4.11-r0.apk
2024-10-25 21:08
605K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 21:08
24K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 21:08
16K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 21:08
30K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 21:08
19K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 21:08
21K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 21:08
15K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 21:08
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 13:28
19K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 13:28
10K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 21:08
9.2K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 21:08
12K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 21:08
51K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 21:08
446K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 21:08
60K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 21:08
29K
py3-agithub-pyc-2.2.2-r6.apk
2024-10-25 21:08
22K
py3-agithub-2.2.2-r6.apk
2024-10-25 21:08
19K
py3-aesedb-pyc-0.1.6-r2.apk
2024-10-25 21:08
76K
py3-aesedb-0.1.6-r2.apk
2024-10-25 21:08
37K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 21:08
21K
py3-actdiag-3.0.0-r5.apk
2024-10-25 21:08
17K
pxmenu-1.0.0-r1.apk
2024-10-25 21:08
2.9K
pxalarm-3.0.0-r0.apk
2024-10-25 21:08
2.9K
pwauth-doc-2.3.11-r2.apk
2024-10-25 21:08
6.8K
pwauth-2.3.11-r2.apk
2024-10-25 21:08
4.0K
pw-volume-0.5.0-r1.apk
2024-10-25 21:08
282K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 21:08
188K
purple-facebook-0.9.6-r0.apk
2024-10-25 21:08
65K
pulseview-doc-0.4.2-r8.apk
2024-10-25 21:08
3.7K
pulseview-0.4.2-r8.apk
2024-10-25 21:08
808K
pulsar-client-cpp-dev-3.1.2-r4.apk
2024-10-25 21:08
55K
pulsar-client-cpp-3.1.2-r4.apk
2024-10-25 21:08
1.0M
pully-openrc-1.0.0-r0.apk
2024-10-25 21:08
1.7K
pully-1.0.0-r0.apk
2024-10-25 21:08
2.5K
ptyxis-lang-47.10-r0.apk
2025-02-22 13:17
240K
ptyxis-doc-47.10-r0.apk
2025-02-22 13:17
2.9K
ptyxis-47.10-r0.apk
2025-02-22 13:17
244K
ptylie-doc-0.2-r1.apk
2024-10-25 21:08
3.2K
ptylie-0.2-r1.apk
2024-10-25 21:08
10K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 21:08
2.4K
ptpd-doc-2.3.1-r1.apk
2024-10-25 21:08
20K
ptpd-2.3.1-r1.apk
2024-10-25 21:08
175K
psst-0_git20240526-r1.apk
2024-10-25 21:08
6.9M
pspp-doc-2.0.1-r0.apk
2024-10-25 21:08
9.0K
pspp-dbg-2.0.1-r0.apk
2024-10-25 21:08
4.4M
pspp-2.0.1-r0.apk
2024-10-25 21:08
9.1M
psi-plus-plugins-1.5.1965-r0.apk
2024-10-25 21:08
1.6M
psi-plus-1.5.1965-r0.apk
2024-10-25 21:08
8.3M
psi-notify-1.3.1-r0.apk
2024-10-25 21:08
11K
psftools-doc-1.1.2-r0.apk
2024-10-25 21:08
60K
psftools-dev-1.1.2-r0.apk
2024-10-25 21:08
41K
psftools-1.1.2-r0.apk
2024-10-25 21:08
190K
prowlarr-openrc-1.30.2.4939-r0.apk
2025-02-01 18:10
2.0K
prowlarr-1.30.2.4939-r0.apk
2025-02-01 18:10
19M
protoconf-0.1.7-r10.apk
2025-02-10 04:38
7.1M
protoc-gen-go-1.36.4-r1.apk
2025-02-10 04:38
1.9M
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.5K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.6K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.7K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.0K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 21:08
8.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.8K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.6K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.0K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 21:08
104K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 21:08
3.7K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 21:08
6.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 21:08
5.6K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 21:08
5.9K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.2K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.8K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.0K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.9K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.8K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 21:08
3.3K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 21:08
7.2K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.0K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 21:08
3.1K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.6K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 21:08
1.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 21:08
2.8K
proot-static-5.4.0-r1.apk
2024-10-25 21:08
95K
proot-doc-5.4.0-r1.apk
2024-10-25 21:08
10K
proot-5.4.0-r1.apk
2024-10-25 21:08
62K
prometheus-unbound-exporter-openrc-0.4.6-r2.apk
2025-02-10 04:38
1.9K
prometheus-unbound-exporter-0.4.6-r2.apk
2025-02-10 04:38
3.4M
prometheus-smokeping-prober-openrc-0.7.1-r9.apk
2025-02-10 04:38
1.9K
prometheus-smokeping-prober-0.7.1-r9.apk
2025-02-10 04:38
4.2M
prometheus-smartctl-exporter-openrc-0.13.0-r2.apk
2025-02-10 04:38
1.8K
prometheus-smartctl-exporter-0.13.0-r2.apk
2025-02-10 04:38
4.2M
prometheus-rethinkdb-exporter-openrc-1.0.1-r25.apk
2025-02-10 04:38
1.6K
prometheus-rethinkdb-exporter-1.0.1-r25.apk
2025-02-10 04:38
3.8M
prometheus-podman-exporter-1.13.3-r2.apk
2025-02-10 04:38
14M
prometheus-opnsense-exporter-openrc-0.0.5-r2.apk
2025-02-10 04:38
2.0K
prometheus-opnsense-exporter-0.0.5-r2.apk
2025-02-10 04:38
4.1M
prometheus-ipmi-exporter-openrc-1.8.0-r2.apk
2025-02-10 04:38
1.9K
prometheus-ipmi-exporter-doc-1.8.0-r2.apk
2025-02-10 04:38
6.5K
prometheus-ipmi-exporter-1.8.0-r2.apk
2025-02-10 04:38
3.9M
prometheus-bind-exporter-openrc-0.7.0-r8.apk
2025-02-10 04:38
1.8K
prometheus-bind-exporter-0.7.0-r8.apk
2025-02-10 04:38
4.3M
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 21:08
5.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 21:08
283K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 21:08
2.0K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 21:08
366K
projectm-presets-3.1.12-r2.apk
2024-10-25 21:08
4.3M
projectm-dev-3.1.12-r2.apk
2024-10-25 21:08
632K
projectm-3.1.12-r2.apk
2024-10-25 21:08
399K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 21:08
748K
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 21:08
1.1M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 21:08
1.0M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 21:08
39K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 21:08
2.1M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 21:08
3.3K
prjtrellis-1.4-r2.apk
2024-10-25 21:08
1.1M
primesieve-libs-12.6-r0.apk
2024-12-14 19:13
105K
primesieve-doc-12.6-r0.apk
2024-12-14 19:13
4.0K
primesieve-dev-12.6-r0.apk
2024-12-14 19:13
1.2M
primesieve-12.6-r0.apk
2024-12-14 19:13
40K
primecount-libs-7.14-r0.apk
2024-10-25 21:08
112K
primecount-doc-7.14-r0.apk
2024-10-25 21:08
3.9K
primecount-dev-7.14-r0.apk
2024-10-25 21:08
1.9M
primecount-7.14-r0.apk
2024-10-25 21:08
26K
predict-doc-2.3.1-r0.apk
2024-11-24 06:46
16K
predict-2.3.1-r0.apk
2024-11-24 06:46
85K
pqiv-doc-2.12-r1.apk
2024-10-25 21:08
12K
pqiv-2.12-r1.apk
2024-10-25 21:08
59K
pptpclient-doc-1.10.0-r5.apk
2024-10-25 21:08
7.2K
pptpclient-1.10.0-r5.apk
2024-10-25 21:08
31K
ppl-doc-1.2-r1.apk
2024-10-25 21:08
9.1M
ppl-dev-1.2-r1.apk
2024-10-25 21:08
609K
ppl-1.2-r1.apk
2024-10-25 21:08
33K
powerstat-doc-0.04.01-r0.apk
2024-10-25 21:08
4.2K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 21:08
2.3K
powerstat-0.04.01-r0.apk
2024-10-25 21:08
19K
powder-toy-97.0.352-r1.apk
2024-10-25 21:08
756K
pounce-openrc-3.1-r3.apk
2024-10-25 21:08
2.8K
pounce-doc-3.1-r3.apk
2024-10-25 21:08
8.5K
pounce-3.1-r3.apk
2024-10-25 21:08
26K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 21:08
68K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 21:08
236K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
2024-10-25 21:08
54K
postgresql-pg_variables-1.2.5_git20230922-r0.apk
2024-10-25 21:08
20K
postgresql-pg_partman-scripts-5.0.0-r0.apk
2024-10-25 21:08
7.7K
postgresql-pg_partman-doc-5.0.0-r0.apk
2024-10-25 21:08
47K
postgresql-pg_partman-bitcode-5.0.0-r0.apk
2024-10-25 21:08
23K
postgresql-pg_partman-5.0.0-r0.apk
2024-10-25 21:08
971K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 21:08
561K
postgresql-pg_graphql-1.5.9-r0.apk
2024-12-25 19:58
510K
portsmf-dev-239-r1.apk
2024-10-25 21:08
20K
portsmf-239-r1.apk
2024-10-25 21:08
47K
porla-openrc-0.41.0-r1.apk
2024-12-05 21:55
2.7K
porla-doc-0.41.0-r1.apk
2024-12-05 21:55
2.2K
porla-0.41.0-r1.apk
2024-12-05 21:55
3.1M
popeye-0.22.1-r1.apk
2025-02-10 04:38
27M
pongoos-loader-0_git20210704-r1.apk
2024-10-25 21:08
2.4K
pomo-doc-0.8.1-r20.apk
2025-02-10 04:38
2.7K
pomo-0.8.1-r20.apk
2025-02-10 04:38
1.5M
polyglot-doc-2.0.4-r1.apk
2024-10-25 21:08
48K
polyglot-2.0.4-r1.apk
2024-10-25 21:08
60K
policycoreutils-lang-3.6-r1.apk
2024-10-25 21:08
105K
policycoreutils-doc-3.6-r1.apk
2024-10-25 21:08
22K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 21:08
2.4K
policycoreutils-3.6-r1.apk
2024-10-25 21:08
50K
pokoy-doc-0.2.5-r0.apk
2024-10-25 21:08
3.0K
pokoy-0.2.5-r0.apk
2024-10-25 21:08
8.2K
poke-doc-4.2-r0.apk
2024-10-25 21:08
201K
poke-4.2-r0.apk
2024-10-25 21:08
1.1M
pnmixer-lang-0.7.2-r3.apk
2024-10-25 21:08
25K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 21:08
2.3K
pnmixer-0.7.2-r3.apk
2024-10-25 21:08
138K
pmccabe-doc-2.8-r1.apk
2024-10-25 21:08
7.1K
pmccabe-2.8-r1.apk
2024-10-25 21:08
23K
plzip-doc-1.12-r0.apk
2025-01-15 09:35
17K
plzip-1.12-r0.apk
2025-01-15 09:35
41K
plplot-libs-5.15.0-r2.apk
2024-10-25 21:08
176K
plplot-doc-5.15.0-r2.apk
2024-10-25 21:08
311K
plplot-dev-5.15.0-r2.apk
2024-10-25 21:08
59K
plplot-5.15.0-r2.apk
2024-10-25 21:08
31K
plots-0.7.0-r1.apk
2024-11-06 10:41
516K
plib-1.8.5-r3.apk
2024-10-25 21:08
836K
plfit-static-1.0.1-r0.apk
2025-01-04 04:47
29K
plfit-libs-1.0.1-r0.apk
2025-01-04 04:47
26K
plfit-dev-1.0.1-r0.apk
2025-01-04 04:47
6.5K
plfit-1.0.1-r0.apk
2025-01-04 04:47
35K
please-doc-0.5.5-r0.apk
2024-10-25 21:08
16K
please-0.5.5-r0.apk
2024-10-25 21:08
894K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 21:08
552K
platformio-core-6.1.7-r3.apk
2024-10-25 21:08
263K
planner-lang-0.14.92-r1.apk
2024-12-08 22:36
825K
planner-doc-0.14.92-r1.apk
2024-12-08 22:36
2.2K
planner-0.14.92-r1.apk
2024-12-08 22:36
313K
planarity-libs-3.0.2.0-r2.apk
2024-10-25 21:08
56K
planarity-doc-3.0.2.0-r2.apk
2024-10-25 21:08
13K
planarity-dev-3.0.2.0-r2.apk
2024-10-25 21:08
19K
planarity-3.0.2.0-r2.apk
2024-10-25 21:08
8.2K
pixiewps-doc-1.4.2-r1.apk
2024-10-25 21:08
3.4K
pixiewps-1.4.2-r1.apk
2024-10-25 21:08
36K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 21:08
10K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 21:08
10K
pixi-doc-0.24.2-r0.apk
2024-10-25 21:08
6.9K
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 21:08
7.2K
pixi-0.24.2-r0.apk
2024-10-25 21:08
7.8M
pitivi-pyc-2023.03-r2.apk
2024-12-23 02:13
700K
pitivi-lang-2023.03-r2.apk
2024-12-23 02:13
678K
pitivi-2023.03-r2.apk
2024-12-23 02:13
2.7M
pithos-pyc-1.6.1-r0.apk
2024-10-25 21:08
154K
pithos-doc-1.6.1-r0.apk
2024-10-25 21:08
2.1K
pithos-1.6.1-r0.apk
2024-10-25 21:08
104K
piping-server-openrc-0.18.0-r0.apk
2024-10-25 21:08
1.8K
piping-server-0.18.0-r0.apk
2024-10-25 21:08
1.2M
piper-tts-dev-2023.11.14.2-r10.apk
2025-02-22 13:17
141K
piper-tts-2023.11.14.2-r10.apk
2025-02-22 13:17
121K
piper-phonemize-libs-2023.11.14.4-r6.apk
2025-02-22 13:17
68K
piper-phonemize-dev-2023.11.14.4-r6.apk
2025-02-22 13:17
394K
piper-phonemize-2023.11.14.4-r6.apk
2025-02-22 13:17
9.0M
pipeline-lang-2.1.1-r0.apk
2025-02-04 18:20
63K
pipeline-doc-2.1.1-r0.apk
2025-02-04 18:20
14K
pipeline-2.1.1-r0.apk
2025-02-04 18:20
1.5M
pipectl-doc-0.4.1-r1.apk
2024-10-25 21:08
3.0K
pipectl-0.4.1-r1.apk
2024-10-25 21:08
5.4K
pinentry-bemenu-0.14.0-r0.apk
2025-02-22 13:17
7.8K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 21:08
1.6K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 21:08
35K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 21:08
1.9K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 21:08
20K
pimd-dense-2.1.0-r0.apk
2024-10-25 21:08
46K
pimd-3.0_git20220201-r0.apk
2024-10-25 21:08
76K
pigpio-openrc-79-r4.apk
2024-10-25 21:08
1.6K
pigpio-doc-79-r4.apk
2024-10-25 21:08
115K
pigpio-dev-79-r4.apk
2024-10-25 21:08
91K
pigpio-79-r4.apk
2024-10-25 21:08
200K
piglit-0_git20241106-r0.apk
2024-11-08 07:18
88M
pidif-0.1-r1.apk
2024-10-25 21:08
141K
pict-rs-openrc-0.5.16-r1.apk
2024-10-25 21:08
1.9K
pict-rs-0.5.16-r1.apk
2024-10-25 21:08
5.7M
pick-doc-4.0.0-r0.apk
2024-10-25 21:08
3.3K
pick-4.0.0-r0.apk
2024-10-25 21:08
9.2K
phpactor-2024.06.30.0-r0.apk
2024-10-25 21:08
3.8M
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 13:47
48K
php84-pecl-solr-2.8.0-r0.apk
2025-01-02 10:42
83K
php84-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:08
33K
php84-pecl-ev-1.2.0-r1.apk
2024-10-25 21:08
36K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 21:08
29K
php83-pecl-vld-0.18.0-r1.apk
2024-10-25 21:08
14K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 21:08
48K
php83-pecl-phpy-1.0.8-r0.apk
2024-10-25 21:08
36K
php83-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:08
33K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:08
9.1K
php83-pecl-excimer-1.2.3-r0.apk
2024-12-04 02:31
19K
php83-pecl-ev-1.2.0-r0.apk
2024-10-25 21:08
36K
php83-pecl-eio-3.1.3-r0.apk
2024-10-25 21:08
26K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 21:08
4.3K
php82-snappy-0.2.1-r1.apk
2024-10-25 21:08
4.8K
php82-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 18:25
63K
php82-pecl-vld-0.18.0-r0.apk
2024-10-25 21:08
14K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 21:08
101K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 21:08
27K
php82-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:08
33K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:08
9.1K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 21:08
36K
php82-pecl-excimer-1.2.3-r0.apk
2024-12-04 02:31
19K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 21:08
4.3K
php82-pdlib-1.1.0-r1.apk
2024-10-25 21:08
471K
php81-zip-8.1.31-r0.apk
2024-11-20 03:43
24K
php81-xsl-8.1.31-r0.apk
2024-11-20 03:43
12K
php81-xmlwriter-8.1.31-r0.apk
2024-11-20 03:43
11K
php81-xmlreader-8.1.31-r0.apk
2024-11-20 03:43
12K
php81-xml-8.1.31-r0.apk
2024-11-20 03:43
18K
php81-tokenizer-8.1.31-r0.apk
2024-11-20 03:43
11K
php81-tidy-8.1.31-r0.apk
2024-11-20 03:43
18K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 21:08
12K
php81-sysvshm-8.1.31-r0.apk
2024-11-20 03:43
6.3K
php81-sysvsem-8.1.31-r0.apk
2024-11-20 03:43
5.4K
php81-sysvmsg-8.1.31-r0.apk
2024-11-20 03:43
7.1K
php81-sqlite3-8.1.31-r0.apk
2024-11-20 03:43
19K
php81-sodium-8.1.31-r0.apk
2024-11-20 03:43
26K
php81-sockets-8.1.31-r0.apk
2024-11-20 03:43
34K
php81-soap-8.1.31-r0.apk
2024-11-20 03:43
128K
php81-snmp-8.1.31-r0.apk
2024-11-20 03:43
19K
php81-simplexml-8.1.31-r0.apk
2024-11-20 03:43
21K
php81-shmop-8.1.31-r0.apk
2024-11-20 03:43
5.8K
php81-session-8.1.31-r0.apk
2024-11-20 03:43
35K
php81-pspell-8.1.31-r0.apk
2024-11-20 03:43
7.7K
php81-posix-8.1.31-r0.apk
2024-11-20 03:43
10K
php81-phpdbg-8.1.31-r0.apk
2024-11-20 03:43
1.7M
php81-phar-8.1.31-r0.apk
2024-11-20 03:43
117K
php81-pgsql-8.1.31-r0.apk
2024-11-20 03:43
42K
php81-pecl-zstd-0.14.0-r0.apk
2024-11-06 15:44
12K
php81-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 18:25
63K
php81-pecl-yaml-2.2.4-r0.apk
2024-10-25 21:08
17K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 21:08
31K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 02:44
201K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 21:08
801K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 21:08
11K
php81-pecl-xdebug-3.4.1-r0.apk
2025-01-07 05:43
133K
php81-pecl-uuid-1.2.1-r0.apk
2024-10-25 21:08
6.1K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 21:08
9.9K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 21:08
6.6K
php81-pecl-timezonedb-2025.1-r0.apk
2025-01-25 08:04
189K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 21:08
26K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 20:01
19K
php81-pecl-redis-6.1.0-r0.apk
2024-10-25 21:08
182K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 13:51
34K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 21:08
17K
php81-pecl-protobuf-4.29.3-r0.apk
2025-01-09 23:14
125K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 18:17
8.6K
php81-pecl-opentelemetry-1.1.2-r0.apk
2025-01-25 08:04
11K
php81-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:08
33K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 21:08
25K
php81-pecl-mongodb-1.20.1-r0.apk
2024-11-27 17:48
800K
php81-pecl-memcached-3.3.0-r0.apk
2024-10-25 21:08
43K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 21:08
39K
php81-pecl-mcrypt-1.0.7-r0.apk
2024-10-25 21:08
14K
php81-pecl-maxminddb-1.12.0-r0.apk
2024-11-20 03:43
7.7K
php81-pecl-mailparse-3.1.8-r0.apk
2024-10-25 21:08
20K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 21:08
6.4K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 21:08
27K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:08
9.1K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 21:08
36K
php81-pecl-imagick-dev-3.7.0-r5.apk
2024-10-25 21:08
2.3K
php81-pecl-imagick-3.7.0-r5.apk
2024-10-25 21:08
100K
php81-pecl-igbinary-3.2.16-r0.apk
2024-10-25 21:08
28K
php81-pecl-grpc-1.70.0-r0.apk
2025-02-07 00:52
4.0M
php81-pecl-event-3.1.4-r0.apk
2024-10-25 21:08
46K
php81-pecl-ds-1.5.0-r0.apk
2024-10-25 21:08
49K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 21:08
17K
php81-pecl-csv-0.4.2-r0.apk
2024-10-25 21:08
8.9K
php81-pecl-couchbase-4.2.6-r0.apk
2025-01-30 02:56
3.9M
php81-pecl-brotli-0.15.2-r0.apk
2024-11-08 21:37
10K
php81-pecl-ast-1.1.2-r0.apk
2024-10-25 21:08
20K
php81-pecl-apcu-5.1.24-r0.apk
2024-10-25 21:08
52K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 21:08
55K
php81-pear-8.1.31-r0.apk
2024-11-20 03:43
338K
php81-pdo_sqlite-8.1.31-r0.apk
2024-11-20 03:43
12K
php81-pdo_pgsql-8.1.31-r0.apk
2024-11-20 03:43
18K
php81-pdo_odbc-8.1.31-r0.apk
2024-11-20 03:43
12K
php81-pdo_mysql-8.1.31-r0.apk
2024-11-20 03:43
12K
php81-pdo_dblib-8.1.31-r0.apk
2024-11-20 03:43
11K
php81-pdo-8.1.31-r0.apk
2024-11-20 03:43
39K
php81-pcntl-8.1.31-r0.apk
2024-11-20 03:43
13K
php81-openssl-8.1.31-r0.apk
2024-11-20 03:43
69K
php81-opcache-8.1.31-r0.apk
2024-11-20 03:43
66K
php81-odbc-8.1.31-r0.apk
2024-11-20 03:43
22K
php81-mysqlnd-8.1.31-r0.apk
2024-11-20 03:43
74K
php81-mysqli-8.1.31-r0.apk
2024-11-20 03:43
40K
php81-mbstring-8.1.31-r0.apk
2024-11-20 03:43
562K
php81-litespeed-8.1.31-r0.apk
2024-11-20 03:43
1.7M
php81-ldap-8.1.31-r0.apk
2024-11-20 03:43
30K
php81-intl-8.1.31-r0.apk
2024-11-20 03:43
133K
php81-imap-8.1.31-r0.apk
2024-11-20 03:43
32K
php81-iconv-8.1.31-r0.apk
2024-11-20 03:43
16K
php81-gmp-8.1.31-r0.apk
2024-11-20 03:43
19K
php81-gettext-8.1.31-r0.apk
2024-11-20 03:43
5.5K
php81-gd-8.1.31-r0.apk
2024-11-20 03:43
116K
php81-ftp-8.1.31-r0.apk
2024-11-20 03:43
22K
php81-fpm-8.1.31-r0.apk
2024-11-20 03:43
1.7M
php81-fileinfo-8.1.31-r0.apk
2024-11-20 03:43
376K
php81-ffi-8.1.31-r0.apk
2024-11-20 03:43
65K
php81-exif-8.1.31-r0.apk
2024-11-20 03:43
30K
php81-enchant-8.1.31-r0.apk
2024-11-20 03:43
8.0K
php81-embed-8.1.31-r0.apk
2024-11-20 03:43
1.7M
php81-dom-8.1.31-r0.apk
2024-11-20 03:43
57K
php81-doc-8.1.31-r0.apk
2024-11-20 03:43
68K
php81-dev-8.1.31-r0.apk
2024-11-20 03:43
939K
php81-dba-8.1.31-r0.apk
2024-11-20 03:43
20K
php81-curl-8.1.31-r0.apk
2024-11-20 03:43
35K
php81-ctype-8.1.31-r0.apk
2024-11-20 03:43
4.6K
php81-common-8.1.31-r0.apk
2024-11-20 03:43
25K
php81-cgi-8.1.31-r0.apk
2024-11-20 03:43
1.7M
php81-calendar-8.1.31-r0.apk
2024-11-20 03:43
13K
php81-bz2-8.1.31-r0.apk
2024-11-20 03:43
9.0K
php81-bcmath-8.1.31-r0.apk
2024-11-20 03:43
15K
php81-apache2-8.1.31-r0.apk
2024-11-20 03:43
1.7M
php81-8.1.31-r0.apk
2024-11-20 03:43
1.7M
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 21:08
287K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 21:08
1.7K
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 21:08
3.9M
pgcat-openrc-1.2.0-r1.apk
2025-01-01 18:37
1.9K
pgcat-1.2.0-r1.apk
2025-01-01 18:37
2.3M
pfetch-doc-1.7.0-r0.apk
2025-01-03 19:51
5.5K
pfetch-1.7.0-r0.apk
2025-01-03 19:51
23K
pest-language-server-0.3.9-r0.apk
2024-10-25 21:08
1.0M
persistent-cache-cpp-doc-1.0.7-r4.apk
2025-02-22 13:17
3.1K
persistent-cache-cpp-dev-1.0.7-r4.apk
2025-02-22 13:17
18K
persistent-cache-cpp-1.0.7-r4.apk
2025-02-22 13:17
43K
perl-xml-stream-doc-1.24-r0.apk
2024-10-25 21:08
18K
perl-xml-stream-1.24-r0.apk
2024-10-25 21:08
44K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 21:08
4.9K
perl-xml-rpc-2.1-r0.apk
2024-10-25 21:08
5.7K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 21:08
5.4K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 21:08
5.0K
perl-xml-feed-doc-0.65-r0.apk
2024-10-25 21:08
12K
perl-xml-feed-0.65-r0.apk
2024-10-25 21:08
14K
perl-xml-bare-doc-0.53-r13.apk
2024-10-25 21:08
11K
perl-xml-bare-0.53-r13.apk
2024-10-25 21:08
26K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 21:08
16K
perl-xml-atom-0.43-r0.apk
2024-10-25 21:08
20K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 21:08
7.6K
perl-x-tiny-0.22-r0.apk
2024-10-25 21:08
6.9K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 21:08
5.6K
perl-variable-disposition-0.005-r0.apk
2024-10-25 21:08
3.3K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 21:08
4.7K
perl-url-encode-0.03-r4.apk
2024-10-25 21:08
5.1K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 21:08
4.9K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 21:08
2.7K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 21:08
4.5K
perl-uri-redis-0.02-r0.apk
2024-10-25 21:08
3.2K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 21:08
3.9K
perl-uri-nested-0.10-r0.apk
2024-10-25 21:08
4.0K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 21:08
7.5K
perl-uri-fetch-0.15-r0.apk
2024-10-25 21:08
7.0K
perl-uri-db-doc-0.23-r0.apk
2025-01-09 06:26
8.3K
perl-uri-db-0.23-r0.apk
2025-01-09 06:26
11K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 21:08
4.1K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 21:08
3.9K
perl-time-timegm-doc-0.01-r9.apk
2024-10-25 21:08
3.8K
perl-time-timegm-0.01-r9.apk
2024-10-25 21:08
6.4K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 21:08
4.0K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 21:08
3.6K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 21:08
3.4K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 21:08
2.7K
perl-time-moment-doc-0.44-r0.apk
2024-10-25 21:08
31K
perl-time-moment-0.44-r0.apk
2024-10-25 21:08
37K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 21:08
6.5K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 21:08
8.0K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 21:08
6.9K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 21:08
7.2K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 21:08
4.1K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 21:08
4.7K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 21:08
3.8K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 21:08
4.6K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 21:08
3.4K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 21:08
3.9K
perl-throwable-doc-1.001-r1.apk
2024-10-25 21:08
8.0K
perl-throwable-1.001-r1.apk
2024-10-25 21:08
6.2K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 21:08
5.2K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 21:08
5.3K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 21:08
6.6K
perl-text-table-any-0.117-r0.apk
2024-10-25 21:08
8.1K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 21:08
4.1K
perl-text-brew-0.02-r5.apk
2024-10-25 21:08
4.5K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 21:08
4.4K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 21:08
3.8K
perl-test-utf8-doc-1.03-r0.apk
2024-11-20 03:43
4.9K
perl-test-utf8-1.03-r0.apk
2024-11-20 03:43
5.6K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 21:08
3.8K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 21:08
3.8K
perl-test-unit-doc-0.27-r0.apk
2024-10-25 21:08
48K
perl-test-unit-0.27-r0.apk
2024-10-25 21:08
37K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 21:08
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 21:08
20K
perl-test-toolbox-doc-0.4-r5.apk
2024-10-25 21:08
6.2K
perl-test-toolbox-0.4-r5.apk
2024-10-25 21:08
9.8K
perl-test-timer-doc-2.12-r2.apk
2024-10-25 21:08
8.4K
perl-test-timer-2.12-r2.apk
2024-10-25 21:08
8.9K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 21:08
6.0K
perl-test-settings-0.003-r0.apk
2024-10-25 21:08
4.9K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 21:08
15K
perl-test-roo-1.004-r3.apk
2024-10-25 21:08
12K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 21:08
4.4K
perl-test-requires-git-1.008-r0.apk
2024-10-25 21:08
4.8K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 21:08
3.7K
perl-test-randomresult-0.001-r0.apk
2024-10-25 21:08
3.5K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 21:08
9.8K
perl-test-modern-0.013-r3.apk
2024-10-25 21:08
15K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 21:08
5.3K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 21:08
6.4K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 21:08
8.3K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 21:08
9.8K
perl-test-files-doc-0.26-r0.apk
2024-10-25 21:08
15K
perl-test-files-0.26-r0.apk
2024-10-25 21:08
6.7K
perl-test-file-doc-1.994-r0.apk
2025-01-12 05:09
6.7K
perl-test-file-1.994-r0.apk
2025-01-12 05:09
11K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 21:08
20K
perl-test-expander-2.5.1-r0.apk
2024-10-25 21:08
7.1K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 21:08
6.1K
perl-test-distribution-2.00-r1.apk
2024-10-25 21:08
7.7K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 21:08
4.2K
perl-test-describeme-0.004-r0.apk
2024-10-25 21:08
3.6K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 21:08
5.4K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 21:08
5.9K
perl-test-api-doc-0.010-r2.apk
2024-10-25 21:08
4.2K
perl-test-api-0.010-r2.apk
2024-10-25 21:08
5.1K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 21:08
8.5K
perl-term-ui-0.50-r1.apk
2024-10-25 21:08
10K
perl-term-size-doc-0.211-r4.apk
2024-10-25 21:08
3.7K
perl-term-size-0.211-r4.apk
2024-10-25 21:08
5.4K
perl-template-tiny-doc-1.14-r0.apk
2024-12-15 11:09
4.7K
perl-template-tiny-1.14-r0.apk
2024-12-15 11:09
5.2K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 21:08
4.4K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 21:08
4.9K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 21:08
3.0K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 21:08
2.7K
perl-system-command-doc-1.122-r0.apk
2024-10-25 21:08
10K
perl-system-command-1.122-r0.apk
2024-10-25 21:08
12K
perl-sys-virt-doc-11.0.0-r0.apk
2025-02-22 13:17
99K
perl-sys-virt-11.0.0-r0.apk
2025-02-22 13:17
186K
perl-sys-syscall-doc-0.25-r10.apk
2024-10-25 21:08
3.7K
perl-sys-syscall-0.25-r10.apk
2024-10-25 21:08
5.3K
perl-syntax-operator-in-doc-0.10-r0.apk
2024-10-25 21:08
5.8K
perl-syntax-operator-in-0.10-r0.apk
2024-10-25 21:08
9.0K
perl-syntax-operator-equ-doc-0.10-r0.apk
2024-10-25 21:08
6.5K
perl-syntax-operator-equ-0.10-r0.apk
2024-10-25 21:08
7.7K
perl-syntax-keyword-match-doc-0.15-r0.apk
2024-10-25 21:08
7.8K
perl-syntax-keyword-match-0.15-r0.apk
2024-10-25 21:08
13K
perl-string-random-doc-0.32-r2.apk
2024-10-25 21:08
6.2K
perl-string-random-0.32-r2.apk
2024-10-25 21:08
7.9K
perl-string-crc32-doc-2.100-r4.apk
2024-10-25 21:08
3.4K
perl-string-crc32-2.100-r4.apk
2024-10-25 21:08
6.6K
perl-string-compare-constanttime-doc-0.321-r6.apk
2024-10-25 21:08
5.2K
perl-string-compare-constanttime-0.321-r6.apk
2024-10-25 21:08
7.0K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 21:08
3.4K
perl-string-camelcase-0.04-r2.apk
2024-10-25 21:08
3.2K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 21:08
6.9K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 21:08
6.7K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 21:08
37K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 21:08
30K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 21:08
50K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 21:08
9.2K
perl-starman-doc-0.4017-r0.apk
2024-10-25 21:08
10K
perl-starman-0.4017-r0.apk
2024-10-25 21:08
13K
perl-sql-abstract-more-doc-1.43-r0.apk
2024-12-30 07:37
17K
perl-sql-abstract-more-1.43-r0.apk
2024-12-30 07:37
27K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 21:08
20K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 21:08
29K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 21:08
4.1K
perl-sort-versions-1.62-r0.apk
2024-10-25 21:08
3.7K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 21:08
5.4K
perl-sort-naturally-1.03-r4.apk
2024-10-25 21:08
8.6K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 21:08
90K
perl-soap-lite-1.27-r5.apk
2024-10-25 21:08
110K
perl-snmp-info-doc-3.972002-r0.apk
2024-10-30 06:59
379K
perl-snmp-info-3.972002-r0.apk
2024-10-30 06:59
333K
perl-snmp-doc-5.0404-r13.apk
2024-10-25 21:08
14K
perl-snmp-5.0404-r13.apk
2024-10-25 21:08
64K
perl-signature-attribute-checked-doc-0.06-r0.apk
2024-10-25 21:08
4.6K
perl-signature-attribute-checked-0.06-r0.apk
2024-10-25 21:08
7.4K
perl-sentinel-doc-0.07-r1.apk
2024-10-25 21:08
4.2K
perl-sentinel-0.07-r1.apk
2024-10-25 21:08
6.9K
perl-scalar-readonly-doc-0.03-r1.apk
2024-10-25 21:08
3.4K
perl-scalar-readonly-0.03-r1.apk
2024-10-25 21:08
5.1K
perl-ryu-doc-4.001-r0.apk
2024-10-25 21:08
35K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 21:08
12K
perl-ryu-async-0.020-r0.apk
2024-10-25 21:08
7.5K
perl-ryu-4.001-r0.apk
2024-10-25 21:08
26K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 21:08
9.1K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 21:08
2.8K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 21:08
9.0K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 21:08
2.8K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 21:08
23K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 21:08
8.9K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 21:08
2.7K
perl-rxperl-6.29.8-r0.apk
2024-10-25 21:08
26K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 21:08
3.9K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 21:08
3.7K
perl-regexp-grammars-doc-1.058-r0.apk
2024-10-25 21:08
48K
perl-regexp-grammars-1.058-r0.apk
2024-10-25 21:08
66K
perl-ref-util-xs-doc-0.117-r8.apk
2024-10-25 21:08
3.4K
perl-ref-util-xs-0.117-r8.apk
2024-10-25 21:08
8.4K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 21:08
3.3K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 21:08
3.4K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 21:08
5.0K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 21:08
5.6K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 21:08
36K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 21:08
19K
perl-promise-xs-doc-0.20-r1.apk
2024-10-25 21:08
8.7K
perl-promise-xs-0.20-r1.apk
2024-10-25 21:08
21K
perl-promise-me-doc-0.5.0-r0.apk
2024-10-25 21:08
12K
perl-promise-me-0.5.0-r0.apk
2024-10-25 21:08
26K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 21:08
2.6K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 21:08
2.9K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 21:08
2.3K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 21:08
12K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 21:08
2.5K
perl-promise-es6-0.28-r0.apk
2024-10-25 21:08
11K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 21:08
3.5K
perl-proc-guard-0.07-r4.apk
2024-10-25 21:08
3.7K
perl-ppi-xs-doc-0.910-r1.apk
2024-10-25 21:08
3.4K
perl-ppi-xs-0.910-r1.apk
2024-10-25 21:08
5.6K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 21:08
11K
perl-pod-tidy-0.10-r1.apk
2024-10-25 21:08
10K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 21:08
4.9K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 21:08
4.6K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 21:08
3.0K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 21:08
3.1K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 20:25
3.1K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 20:25
2.5K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 21:08
3.3K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 21:08
3.9K
perl-perlio-locale-doc-0.10-r12.apk
2024-10-25 21:08
3.0K
perl-perlio-locale-0.10-r12.apk
2024-10-25 21:08
4.3K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 21:08
5.1K
perl-path-iter-0.2-r3.apk
2024-10-25 21:08
5.2K
perl-pango-doc-1.227-r11.apk
2024-10-25 21:08
81K
perl-pango-1.227-r11.apk
2024-10-25 21:08
72K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 21:08
33K
perl-opentracing-1.006-r0.apk
2024-10-25 21:08
18K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 21:08
7.3K
perl-openapi-client-1.07-r0.apk
2024-10-25 21:08
8.6K
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk
2024-10-25 21:08
4.5K
perl-object-pad-fieldattr-checked-0.12-r0.apk
2024-10-25 21:08
7.8K
perl-object-array-doc-0.060-r0.apk
2024-10-25 21:08
7.0K
perl-object-array-0.060-r0.apk
2024-10-25 21:08
5.7K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 21:08
26K
perl-number-tolerant-1.710-r0.apk
2024-10-25 21:08
15K
perl-number-misc-doc-1.2-r5.apk
2024-10-25 21:08
4.4K
perl-number-misc-1.2-r5.apk
2024-10-25 21:08
5.2K
perl-number-format-doc-1.76-r1.apk
2024-10-25 21:08
9.0K
perl-number-format-1.76-r1.apk
2024-10-25 21:08
15K
perl-nice-try-doc-1.3.15-r0.apk
2024-11-07 06:39
12K
perl-nice-try-1.3.15-r0.apk
2024-11-07 06:39
28K
perl-netaddr-mac-doc-0.98-r1.apk
2024-10-25 21:08
8.0K
perl-netaddr-mac-0.98-r1.apk
2024-10-25 21:08
11K
perl-net-xmpp-doc-1.05-r0.apk
2024-10-25 21:08
44K
perl-net-xmpp-1.05-r0.apk
2024-10-25 21:08
58K
perl-net-patricia-doc-1.22-r12.apk
2024-10-25 21:08
6.0K
perl-net-patricia-1.22-r12.apk
2024-10-25 21:08
18K
perl-net-netmask-doc-2.0002-r2.apk
2024-10-25 21:08
8.5K
perl-net-netmask-2.0002-r2.apk
2024-10-25 21:08
14K
perl-net-jabber-doc-2.0-r0.apk
2024-10-25 21:08
48K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-10-25 21:08
7.6K
perl-net-jabber-bot-2.1.7-r0.apk
2024-10-25 21:08
13K
perl-net-jabber-2.0-r0.apk
2024-10-25 21:08
51K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 21:08
5.2K
perl-net-irr-0.10-r0.apk
2024-10-25 21:08
5.5K
perl-net-idn-encode-doc-2.500-r1.apk
2024-10-25 21:08
22K
perl-net-idn-encode-2.500-r1.apk
2024-10-25 21:08
84K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 21:08
3.1K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 21:08
3.0K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 21:08
12K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 21:08
2.7K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 21:08
8.8K
perl-net-curl-doc-0.57-r0.apk
2025-01-25 08:04
39K
perl-net-curl-0.57-r0.apk
2025-01-25 08:04
55K
perl-net-async-redis-xs-doc-1.001-r1.apk
2024-10-25 21:08
5.3K
perl-net-async-redis-xs-1.001-r1.apk
2024-10-25 21:08
8.4K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 17:22
66K
perl-net-async-redis-6.006-r0.apk
2024-12-11 17:22
58K
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk
2024-10-25 21:08
9.7K
perl-net-amqp-rabbitmq-2.40012-r0.apk
2024-10-25 21:08
69K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 21:08
3.5K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 21:08
3.4K
perl-musicbrainz-discid-doc-0.06-r1.apk
2024-10-25 21:08
4.3K
perl-musicbrainz-discid-0.06-r1.apk
2024-10-25 21:08
8.8K
perl-multidimensional-doc-0.014-r0.apk
2024-10-25 21:08
3.1K
perl-multidimensional-0.014-r0.apk
2024-10-25 21:08
4.6K
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk
2024-10-25 21:08
34K
perl-mojolicious-plugin-openapi-5.09-r0.apk
2024-10-25 21:08
29K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 21:08
19K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 21:08
16K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 21:08
25K
perl-mojo-redis-3.29-r0.apk
2024-10-25 21:08
25K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 21:08
4.4K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 21:08
4.7K
perl-module-generic-doc-0.37.7-r0.apk
2024-11-01 15:31
201K
perl-module-generic-0.37.7-r0.apk
2024-11-01 15:31
253K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 21:08
3.8K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 21:08
3.4K
perl-minion-doc-10.31-r0.apk
2024-10-25 21:08
49K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 21:08
6.8K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 21:08
10K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 21:08
6.6K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 21:08
10K
perl-minion-backend-pg-10.31-r0.apk
2024-10-25 21:08
9.6K
perl-minion-10.31-r0.apk
2024-10-25 21:08
1.5M
perl-mce-doc-1.901-r0.apk
2025-01-03 05:21
170K
perl-mce-1.901-r0.apk
2025-01-03 05:21
134K
perl-math-random-isaac-xs-doc-1.004-r8.apk
2024-10-25 21:08
3.8K
perl-math-random-isaac-xs-1.004-r8.apk
2024-10-25 21:08
7.2K
perl-math-random-doc-0.72-r0.apk
2024-10-25 21:08
11K
perl-math-random-0.72-r0.apk
2024-10-25 21:08
33K
perl-math-libm-doc-1.00-r14.apk
2024-10-25 21:08
3.1K
perl-math-libm-1.00-r14.apk
2024-10-25 21:08
9.0K
perl-math-int64-doc-0.57-r1.apk
2024-10-25 21:08
10K
perl-math-int64-0.57-r1.apk
2024-10-25 21:08
27K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 21:08
33K
perl-mastodon-client-0.017-r0.apk
2024-10-25 21:08
22K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 21:08
5.6K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 21:08
6.4K
perl-lwp-online-doc-1.08-r0.apk
2024-10-25 21:08
5.7K
perl-lwp-online-1.08-r0.apk
2024-10-25 21:08
6.1K
perl-lv-doc-0.006-r0.apk
2024-10-25 21:08
4.0K
perl-lv-backend-sentinel-0.006-r0.apk
2024-10-25 21:08
2.0K
perl-lv-backend-magic-0.006-r0.apk
2024-10-25 21:08
2.1K
perl-lv-0.006-r0.apk
2024-10-25 21:08
4.1K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 21:08
3.9K
perl-log-message-simple-0.10-r3.apk
2024-10-25 21:08
4.2K
perl-log-message-doc-0.08-r3.apk
2024-10-25 21:08
12K
perl-log-message-0.08-r3.apk
2024-10-25 21:08
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 21:08
7.2K
perl-log-fu-0.31-r4.apk
2024-10-25 21:08
10K
perl-list-keywords-doc-0.11-r0.apk
2024-10-25 21:08
5.5K
perl-list-keywords-0.11-r0.apk
2024-10-25 21:08
13K
perl-list-binarysearch-xs-doc-0.09-r1.apk
2024-10-25 21:08
8.1K
perl-list-binarysearch-xs-0.09-r1.apk
2024-10-25 21:08
11K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 21:08
12K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 21:08
9.9K
perl-linux-pid-doc-0.04-r13.apk
2024-10-25 21:08
3.0K
perl-linux-pid-0.04-r13.apk
2024-10-25 21:08
4.5K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 14:35
571K
perl-libintl-perl-1.35-r0.apk
2025-01-16 14:35
305K
perl-libapreq2-doc-2.17-r2.apk
2024-10-25 21:08
37K
perl-libapreq2-dev-2.17-r2.apk
2024-10-25 21:08
52K
perl-libapreq2-2.17-r2.apk
2024-10-25 21:08
88K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 21:08
4.0K
perl-lib-abs-0.95-r0.apk
2024-10-25 21:08
3.9K
perl-json-validator-doc-5.14-r0.apk
2024-10-25 21:08
33K
perl-json-validator-5.14-r0.apk
2024-10-25 21:08
59K
perl-json-path-doc-1.0.6-r0.apk
2024-10-25 21:08
13K
perl-json-path-1.0.6-r0.apk
2024-10-25 21:08
16K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 21:08
3.5K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 21:08
3.1K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 21:08
5.7K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 21:08
68K
perl-io-lambda-1.34-r0.apk
2024-10-25 21:08
75K
perl-indirect-doc-0.39-r1.apk
2024-10-25 21:08
6.4K
perl-indirect-0.39-r1.apk
2024-10-25 21:08
14K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 21:08
4.1K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 21:08
4.2K
perl-http-xsheaders-doc-0.400005-r1.apk
2024-10-25 21:08
6.3K
perl-http-xsheaders-0.400005-r1.apk
2024-10-25 21:08
17K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 21:08
3.4K
perl-http-thin-0.006-r0.apk
2024-10-25 21:08
3.1K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 21:08
9.9K
perl-html-tableextract-2.15-r4.apk
2024-10-25 21:08
18K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 21:08
4.0K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 21:08
6.2K
perl-html-object-doc-0.5.1-r0.apk
2024-10-25 21:08
472K
perl-html-object-0.5.1-r0.apk
2024-10-25 21:08
348K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 21:08
19K
perl-hash-ordered-0.014-r0.apk
2024-10-25 21:08
9.8K
perl-guard-doc-1.023-r9.apk
2024-10-25 21:08
5.3K
perl-guard-1.023-r9.apk
2024-10-25 21:08
8.0K
perl-gtk3-doc-0.038-r1.apk
2024-10-25 21:08
9.1K
perl-gtk3-0.038-r1.apk
2024-10-25 21:08
20K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 21:08
81K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 21:08
66K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 21:08
7.3K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 21:08
13K
perl-gtk2-doc-1.24993-r6.apk
2024-10-25 21:08
670K
perl-gtk2-1.24993-r6.apk
2024-10-25 21:08
787K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 21:08
14K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 21:08
7.8K
perl-graphql-client-0.605-r0.apk
2024-10-25 21:08
7.1K
perl-glib-object-introspection-doc-0.051-r1.apk
2024-10-25 21:08
11K
perl-glib-object-introspection-0.051-r1.apk
2024-10-25 21:08
54K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 21:08
22K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 21:08
15K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 21:08
4.8K
perl-git-version-compare-1.005-r0.apk
2024-10-25 21:08
5.4K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 21:08
31K
perl-git-repository-1.325-r0.apk
2024-10-25 21:08
16K
perl-git-raw-doc-0.90-r2.apk
2024-11-22 19:51
117K
perl-git-raw-0.90-r2.apk
2024-11-22 19:51
153K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 21:08
17K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 21:08
23K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 10:39
11K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 10:39
15K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 21:08
20K
perl-gearman-2.004.015-r3.apk
2024-10-25 21:08
27K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 21:08
4.3K
perl-future-queue-0.52-r0.apk
2024-10-25 21:08
4.1K
perl-future-q-doc-0.120-r0.apk
2024-10-25 21:08
9.1K
perl-future-q-0.120-r0.apk
2024-10-25 21:08
9.6K
perl-future-http-doc-0.17-r0.apk
2024-10-25 21:08
16K
perl-future-http-0.17-r0.apk
2024-10-25 21:08
9.2K
perl-future-asyncawait-hooks-doc-0.02-r0.apk
2024-10-25 21:08
3.2K
perl-future-asyncawait-hooks-0.02-r0.apk
2024-10-25 21:08
7.4K
perl-full-doc-1.004-r0.apk
2024-10-25 21:08
10K
perl-full-1.004-r0.apk
2024-10-25 21:08
7.1K
perl-freezethaw-doc-0.5001-r2.apk
2024-10-25 21:08
5.6K
perl-freezethaw-0.5001-r2.apk
2024-10-25 21:08
9.8K
perl-flowd-doc-0.9.1-r10.apk
2024-10-25 21:08
3.2K
perl-flowd-0.9.1-r10.apk
2024-10-25 21:08
21K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 21:08
12K
perl-file-rename-2.02-r0.apk
2024-10-25 21:08
7.5K
perl-file-mmagic-xs-doc-0.09008-r4.apk
2024-10-25 21:08
4.2K
perl-file-mmagic-xs-0.09008-r4.apk
2024-10-25 21:08
27K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 21:08
5.1K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 21:08
5.2K
perl-ffi-platypus-doc-2.10-r0.apk
2024-12-19 06:14
146K
perl-ffi-platypus-2.10-r0.apk
2024-12-19 06:14
175K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 21:08
29K
perl-ffi-c-0.15-r0.apk
2024-10-25 21:08
20K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 21:08
3.7K
perl-feed-find-0.13-r0.apk
2024-10-25 21:08
3.8K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 21:08
21K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 21:08
43K
perl-extutils-makemaker-7.70-r2.apk
2024-10-25 21:08
175K
perl-ev-hiredis-doc-0.07-r1.apk
2024-10-25 21:08
4.2K
perl-ev-hiredis-0.07-r1.apk
2024-10-25 21:08
12K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 21:08
4.8K
perl-email-reply-1.204-r5.apk
2024-10-25 21:08
6.1K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 21:08
3.8K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 21:08
4.0K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 21:08
13K
perl-email-abstract-3.010-r0.apk
2024-10-25 21:08
7.6K
perl-dns-unbound-mojo-0.29-r1.apk
2024-10-25 21:08
2.7K
perl-dns-unbound-ioasync-0.29-r1.apk
2024-10-25 21:08
2.4K
perl-dns-unbound-doc-0.29-r1.apk
2024-10-25 21:08
15K
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk
2024-10-25 21:08
1.9K
perl-dns-unbound-anyevent-0.29-r1.apk
2024-10-25 21:08
2.3K
perl-dns-unbound-0.29-r1.apk
2024-10-25 21:08
22K
perl-digest-crc-doc-0.24-r1.apk
2024-10-25 21:08
3.2K
perl-digest-crc-0.24-r1.apk
2024-10-25 21:08
9.4K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 21:08
5.2K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 21:08
5.6K
perl-devel-refcount-doc-0.10-r1.apk
2024-10-25 21:08
4.2K
perl-devel-refcount-0.10-r1.apk
2024-10-25 21:08
5.9K
perl-devel-leak-doc-0.03-r13.apk
2024-10-25 21:08
3.3K
perl-devel-leak-0.03-r13.apk
2024-10-25 21:08
6.2K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 21:08
6.6K
perl-devel-confess-0.009004-r0.apk
2024-10-25 21:08
11K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-lite-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 21:08
8.4K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 21:08
8.0K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 21:08
7.5K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 21:08
4.3K
perl-dbix-connector-doc-0.60-r0.apk
2024-12-30 07:37
22K
perl-dbix-connector-0.60-r0.apk
2024-12-30 07:37
15K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 18:26
421K
perl-dbix-class-0.082844-r0.apk
2025-01-16 18:26
355K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 21:08
15K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 21:08
12K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 21:08
7.6K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 21:08
2.5K
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
2025-01-05 16:08
4.1K
perl-datetime-format-rfc3339-1.10.0-r0.apk
2025-01-05 16:08
4.4K
perl-datetime-format-flexible-doc-0.37-r0.apk
2024-12-31 14:26
12K
perl-datetime-format-flexible-0.37-r0.apk
2024-12-31 14:26
18K
perl-datetime-format-atom-doc-1.8.0-r0.apk
2025-01-05 16:08
3.8K
perl-datetime-format-atom-1.8.0-r0.apk
2025-01-05 16:08
3.2K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 21:08
9.3K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 21:08
14K
perl-database-async-doc-0.019-r0.apk
2024-10-25 21:08
29K
perl-database-async-0.019-r0.apk
2024-10-25 21:08
23K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 21:08
5.8K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 21:08
8.8K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 21:08
5.5K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 21:08
5.8K
perl-data-checks-doc-0.10-r0.apk
2024-10-25 21:08
8.2K
perl-data-checks-0.10-r0.apk
2024-10-25 21:08
20K
perl-dancer2-doc-1.1.2-r0.apk
2024-12-28 05:54
301K
perl-dancer2-1.1.2-r0.apk
2024-12-28 05:54
163K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 21:08
8.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 21:08
9.7K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 21:08
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 21:08
15K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 21:08
8.2K
perl-daemon-control-0.001010-r2.apk
2024-10-25 21:08
12K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 21:08
33K
perl-css-object-0.2.0-r0.apk
2024-10-25 21:08
23K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 21:08
6.3K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 21:08
6.9K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 21:08
8.8K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 21:08
11K
perl-cpan-changes-doc-0.500004-r0.apk
2024-10-25 21:08
18K
perl-cpan-changes-0.500004-r0.apk
2024-10-25 21:08
14K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 21:08
4.2K
perl-context-preserve-0.03-r4.apk
2024-10-25 21:08
3.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 21:08
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 21:08
8.7K
perl-constant-defer-doc-6-r5.apk
2024-10-25 21:08
6.9K
perl-constant-defer-6-r5.apk
2024-10-25 21:08
7.4K
perl-conf-libconfig-doc-1.0.3-r0.apk
2024-10-25 21:08
5.4K
perl-conf-libconfig-1.0.3-r0.apk
2024-10-25 21:08
22K
perl-color-rgb-util-doc-0.607-r0.apk
2024-10-25 21:08
7.4K
perl-color-rgb-util-0.607-r0.apk
2024-10-25 21:08
9.4K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 21:08
5.2K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 21:08
7.3K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 05:54
12K
perl-cli-osprey-0.08-r0.apk
2024-12-28 05:54
13K
perl-class-inner-doc-0.200001-r5.apk
2024-10-25 21:08
4.1K
perl-class-inner-0.200001-r5.apk
2024-10-25 21:08
3.9K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 21:08
9.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 21:08
5.3K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 21:08
5.5K
perl-class-c3-0.35-r1.apk
2024-10-25 21:08
9.4K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 21:08
7.5K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 21:08
12K
perl-check-unitcheck-doc-0.13-r1.apk
2024-10-25 21:08
3.6K
perl-check-unitcheck-0.13-r1.apk
2024-10-25 21:08
5.6K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 21:08
6.1K
perl-cgi-expand-2.05-r4.apk
2024-10-25 21:08
6.8K
perl-cairo-gobject-doc-1.005-r4.apk
2024-10-25 21:08
2.9K
perl-cairo-gobject-1.005-r4.apk
2024-10-25 21:08
6.0K
perl-cairo-doc-1.109-r4.apk
2024-10-25 21:08
14K
perl-cairo-1.109-r4.apk
2024-10-25 21:08
66K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 21:08
3.2K
perl-cache-lru-0.04-r0.apk
2024-10-25 21:08
2.9K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 21:08
12K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 21:08
14K
perl-bsd-resource-doc-1.2911-r10.apk
2024-10-25 21:08
7.9K
perl-bsd-resource-1.2911-r10.apk
2024-10-25 21:08
19K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 21:08
3.6K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 21:08
3.9K
perl-bareword-filehandles-doc-0.007-r0.apk
2024-10-25 21:08
3.2K
perl-bareword-filehandles-0.007-r0.apk
2024-10-25 21:08
5.4K
perl-barcode-zbar-doc-0.10-r3.apk
2024-10-25 21:08
13K
perl-barcode-zbar-0.10-r3.apk
2024-10-25 21:08
28K
perl-b-hooks-op-check-doc-0.22-r0.apk
2024-10-25 21:08
3.7K
perl-b-hooks-op-check-0.22-r0.apk
2024-10-25 21:08
6.2K
perl-autobox-doc-3.0.2-r0.apk
2024-10-25 21:08
8.8K
perl-autobox-3.0.2-r0.apk
2024-10-25 21:08
18K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 21:08
6.8K
perl-archive-extract-0.88-r1.apk
2024-10-25 21:08
16K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 21:08
10K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 21:08
12K
perl-anyevent-future-doc-0.05-r0.apk
2024-10-25 21:08
5.4K
perl-anyevent-future-0.05-r0.apk
2024-10-25 21:08
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 21:08
4.0K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 21:08
5.1K
perl-aliased-doc-0.34-r4.apk
2024-10-25 21:08
5.6K
perl-aliased-0.34-r4.apk
2024-10-25 21:08
5.6K
perl-algorithm-permute-doc-0.17-r0.apk
2024-10-25 21:08
5.0K
perl-algorithm-permute-0.17-r0.apk
2024-10-25 21:08
11K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 21:08
148K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 21:08
79K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 21:08
4.6K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 21:08
6.1K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 21:08
5.1K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 21:08
5.6K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 21:08
29K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 21:08
9.6K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 21:08
17K
perl-adapter-async-0.019-r0.apk
2024-10-25 21:08
8.1K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 21:08
298K
percona-toolkit-3.5.4-r1.apk
2024-10-25 21:08
1.8M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 21:08
16K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 21:08
1.2M
peg-doc-0.1.18-r1.apk
2024-10-25 21:08
14K
peg-0.1.18-r1.apk
2024-10-25 21:08
32K
peervpn-openrc-0.044-r5.apk
2024-10-25 21:08
1.8K
peervpn-0.044-r5.apk
2024-10-25 21:08
36K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 20:23
3.7K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 20:23
41K
pebble-le-0.3.0-r2.apk
2024-12-14 20:23
59K
pdm-pyc-2.18.2-r0.apk
2024-10-25 21:08
482K
pdm-2.18.2-r0.apk
2024-10-25 21:08
227K
pdfcrack-0.20-r0.apk
2024-10-25 21:08
22K
pdfcpu-0.9.1-r2.apk
2025-02-10 04:38
4.4M
pdf2svg-0.2.3-r1.apk
2024-10-25 21:08
4.4K
pdal-python-plugins-1.6.2-r0.apk
2025-01-13 07:32
210K
pcl-libs-1.14.1-r0.apk
2025-02-22 13:17
1.1M
pcl-dev-1.14.1-r0.apk
2025-02-22 13:17
387K
pcl-1.14.1-r0.apk
2025-02-22 13:17
403K
pasystray-doc-0.8.2-r0.apk
2024-10-25 21:08
3.3K
pasystray-0.8.2-r0.apk
2024-10-25 21:08
43K
pastel-zsh-completion-0.10.0-r0.apk
2024-10-25 21:08
4.9K
pastel-fish-completion-0.10.0-r0.apk
2024-10-25 21:08
3.2K
pastel-bash-completion-0.10.0-r0.apk
2024-10-25 21:08
3.0K
pastel-0.10.0-r0.apk
2024-10-25 21:08
376K
passage-zsh-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
3.0K
passage-fish-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
2.7K
passage-bash-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
3.0K
passage-1.7.4_alpha2-r0.apk
2024-12-24 12:03
8.1K
pass2csv-pyc-1.1.1-r1.apk
2024-10-25 21:08
7.5K
pass2csv-1.1.1-r1.apk
2024-10-25 21:08
8.4K
pash-2.3.0-r2.apk
2024-10-25 21:08
4.3K
parse-changelog-0.6.8-r0.apk
2024-10-25 21:08
481K
pari-libs-2.17.1-r0.apk
2024-12-24 18:12
3.7M
pari-doc-2.17.1-r0.apk
2024-12-24 18:12
924K
pari-dev-2.17.1-r0.apk
2024-12-24 18:12
106K
pari-2.17.1-r0.apk
2024-12-24 18:12
583K
parcellite-lang-1.2.5-r0.apk
2024-10-25 21:08
49K
parcellite-doc-1.2.5-r0.apk
2024-10-25 21:08
25K
parcellite-1.2.5-r0.apk
2024-10-25 21:08
221K
par2cmdline-turbo-doc-1.2.0-r0.apk
2024-12-10 17:35
5.3K
par2cmdline-turbo-1.2.0-r0.apk
2024-12-10 17:35
161K
par-doc-1.53.0-r1.apk
2024-10-25 21:08
30K
par-1.53.0-r1.apk
2024-10-25 21:08
13K
paprefs-lang-1.2-r2.apk
2024-11-22 23:07
38K
paprefs-1.2-r2.apk
2024-11-22 23:07
28K
paperkey-doc-1.6-r2.apk
2024-10-25 21:08
4.5K
paperkey-1.6-r2.apk
2024-10-25 21:08
15K
paperde-dev-0.2.1-r2.apk
2024-10-25 21:08
5.2K
paperde-0.2.1-r2.apk
2024-10-25 21:08
602K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 21:08
1.7K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 21:08
83K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 21:08
6.4K
pantalaimon-0.10.5-r4.apk
2024-10-25 21:08
45K
pamtester-doc-0.1.2-r4.apk
2024-10-25 21:08
2.9K
pamtester-0.1.2-r4.apk
2024-10-25 21:08
8.0K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 21:08
7.8K
pam-krb5-doc-4.11-r1.apk
2024-10-25 21:08
24K
pam-krb5-4.11-r1.apk
2024-10-25 21:08
20K
pacparser-doc-1.4.5-r1.apk
2024-10-25 21:08
18K
pacparser-dev-1.4.5-r1.apk
2024-10-25 21:08
3.6K
pacparser-1.4.5-r1.apk
2024-10-25 21:08
680K
p910nd-openrc-0.97-r2.apk
2024-10-25 21:08
1.8K
p910nd-doc-0.97-r2.apk
2024-10-25 21:08
3.0K
p910nd-0.97-r2.apk
2024-10-25 21:08
6.7K
p0f-doc-3.09b-r3.apk
2024-10-25 21:08
25K
p0f-3.09b-r3.apk
2024-10-25 21:08
82K
oxygen-icons-6.1.0-r0.apk
2024-10-25 21:08
32M
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 21:08
6.5K
ovpncc-0.1_rc1-r0.apk
2024-10-25 21:08
12K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 21:08
4.0K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 21:08
46K
ovos-phal-pyc-0.2.7-r0.apk
2024-11-21 14:31
7.2K
ovos-phal-0.2.7-r0.apk
2024-11-21 14:31
10K
ovos-messagebus-pyc-0.0.8-r0.apk
2024-11-25 13:41
6.6K
ovos-messagebus-0.0.8-r0.apk
2024-11-25 13:41
9.8K
ovos-gui-pyc-1.1.0-r0.apk
2024-11-25 13:41
38K
ovos-gui-1.1.0-r0.apk
2024-11-25 13:41
31K
ovos-core-pyc-0.2.17-r0.apk
2024-11-25 13:41
206K
ovos-core-0.2.17-r0.apk
2024-11-25 13:41
369K
ovos-audio-pyc-0.3.1-r0.apk
2024-11-21 14:31
36K
ovos-audio-0.3.1-r0.apk
2024-11-21 14:31
136K
ovn-openrc-24.03.1-r0.apk
2024-10-25 21:08
4.2K
ovn-doc-24.03.1-r0.apk
2024-10-25 21:08
512K
ovn-dev-24.03.1-r0.apk
2024-10-25 21:08
9.8M
ovn-dbg-24.03.1-r0.apk
2024-10-25 21:08
26M
ovn-24.03.1-r0.apk
2024-10-25 21:08
5.9M
ouch-zsh-completion-0.5.1-r0.apk
2024-10-25 21:08
2.8K
ouch-fish-completion-0.5.1-r0.apk
2024-10-25 21:08
2.3K
ouch-doc-0.5.1-r0.apk
2024-10-25 21:08
3.6K
ouch-bash-completion-0.5.1-r0.apk
2024-10-25 21:08
2.3K
ouch-0.5.1-r0.apk
2024-10-25 21:08
1.0M
otrs-setup-6.0.48-r2.apk
2024-10-25 21:08
107K
otrs-openrc-6.0.48-r2.apk
2024-10-25 21:08
1.9K
otrs-nginx-6.0.48-r2.apk
2024-10-25 21:08
1.8K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 21:08
1.8K
otrs-doc-6.0.48-r2.apk
2024-10-25 21:08
795K
otrs-dev-6.0.48-r2.apk
2024-10-25 21:08
3.9M
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 21:08
2.4K
otrs-apache2-6.0.48-r2.apk
2024-10-25 21:08
4.0K
otrs-6.0.48-r2.apk
2024-10-25 21:08
29M
otpclient-doc-4.0.2-r0.apk
2024-10-25 21:08
3.6K
otpclient-4.0.2-r0.apk
2024-10-25 21:08
106K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 21:08
48K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 21:08
102K
osmctools-0.9-r0.apk
2024-10-25 21:08
123K
orage-lang-4.20.0-r0.apk
2025-02-01 18:06
1.2M
orage-4.20.0-r0.apk
2025-02-01 18:06
564K
optee-client-libs-3.20.0-r0.apk
2024-10-25 21:08
30K
optee-client-dev-3.20.0-r0.apk
2024-10-25 21:08
29K
optee-client-3.20.0-r0.apk
2024-10-25 21:08
18K
opmsg-1.84-r1.apk
2024-10-25 21:08
243K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 21:08
3.6K
opkg-utils-0.7.0-r0.apk
2024-10-25 21:08
25K
opkg-libs-0.7.0-r0.apk
2024-10-25 21:08
70K
opkg-doc-0.7.0-r0.apk
2024-10-25 21:08
7.7K
opkg-dev-0.7.0-r0.apk
2024-10-25 21:08
99K
opkg-0.7.0-r0.apk
2024-10-25 21:08
9.8K
openwsman-libs-2.8.1-r0.apk
2025-02-13 08:44
290K
openwsman-doc-2.8.1-r0.apk
2025-02-13 08:44
2.3K
openwsman-dev-2.8.1-r0.apk
2025-02-13 08:44
56K
openwsman-2.8.1-r0.apk
2025-02-13 08:44
44K
openvpn3-dev-3.8.5-r1.apk
2025-02-22 13:17
667K
openvpn3-3.8.5-r1.apk
2025-02-22 13:17
351K
openttd-opensfx-1.0.3-r0.apk
2024-10-25 21:08
11M
openttd-openmsx-0.4.2-r0.apk
2024-10-25 21:08
129K
openttd-opengfx-7.1-r0.apk
2024-10-25 21:08
3.3M
openttd-lang-14.1-r0.apk
2024-10-25 21:08
3.5M
openttd-doc-14.1-r0.apk
2024-10-25 21:08
264K
openttd-14.1-r0.apk
2024-10-25 21:08
5.4M
opentelemetry-cpp-exporter-zipkin-1.11.0-r6.apk
2025-02-22 13:17
43K
opentelemetry-cpp-exporter-otlp-http-1.11.0-r6.apk
2025-02-22 13:17
60K
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r6.apk
2025-02-22 13:17
30K
opentelemetry-cpp-exporter-otlp-common-1.11.0-r6.apk
2025-02-22 13:17
29K
opentelemetry-cpp-dev-1.11.0-r6.apk
2025-02-22 13:17
323K
opentelemetry-cpp-1.11.0-r6.apk
2025-02-22 13:17
481K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 21:08
2.0K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 21:08
9.4K
openswitcher-0.5.0-r4.apk
2024-10-25 21:08
148K
opensmtpd-filter-dkimsign-doc-0.6-r1.apk
2024-10-25 21:08
3.6K
opensmtpd-filter-dkimsign-0.6-r1.apk
2024-10-25 21:08
12K
openslide-tools-3.4.1-r3.apk
2024-10-25 21:08
9.9K
openslide-doc-3.4.1-r3.apk
2024-10-25 21:08
4.9K
openslide-dev-3.4.1-r3.apk
2024-10-25 21:08
6.9K
openslide-3.4.1-r3.apk
2024-10-25 21:08
77K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 21:08
102K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 21:08
18K
openscap-daemon-0.1.10-r9.apk
2024-10-25 21:08
60K
openra-20231010-r0.apk
2024-10-25 21:08
23M
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 21:08
3.3K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 21:08
3.3K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 21:08
3.7K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 21:08
1.5M
openocd-git-udev-rules-0_git20240113-r1.apk
2024-10-25 21:08
3.4K
openocd-git-doc-0_git20240113-r1.apk
2024-10-25 21:08
3.3K
openocd-git-dev-0_git20240113-r1.apk
2024-10-25 21:08
3.4K
openocd-git-dbg-0_git20240113-r1.apk
2024-10-25 21:08
4.1M
openocd-git-cmd-openocd-0_git20240113-r1.apk
2024-10-25 21:08
1.5K
openocd-git-0_git20240113-r1.apk
2024-10-25 21:08
1.6M
openocd-esp32-udev-rules-0_git20230921-r5.apk
2024-10-25 21:08
3.4K
openocd-esp32-doc-0_git20230921-r5.apk
2024-10-25 21:08
3.3K
openocd-esp32-dev-0_git20230921-r5.apk
2024-10-25 21:08
3.4K
openocd-esp32-0_git20230921-r5.apk
2024-10-25 21:08
1.7M
openmg-0.0.9-r0.apk
2024-10-25 21:08
100K
openfpgaloader-0.11.0-r0.apk
2024-10-25 21:08
1.9M
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 22:31
6.3K
openfortivpn-1.22.1-r0.apk
2024-12-11 22:31
38K
opendht-libs-3.1.11-r0.apk
2025-01-27 21:56
512K
opendht-doc-3.1.11-r0.apk
2025-01-27 21:56
3.0K
opendht-dev-3.1.11-r0.apk
2025-01-27 21:56
71K
opendht-3.1.11-r0.apk
2025-01-27 21:56
162K
openapi-validator-1.19.2-r0.apk
2024-10-25 21:08
9.9M
openapi-tui-0.9.4-r1.apk
2024-10-25 21:08
3.6M
opcr-policy-0.3.0-r1.apk
2025-02-10 04:38
8.3M
onnxruntime-dev-1.20.2-r0.apk
2025-02-22 13:17
97K
onnxruntime-1.20.2-r0.apk
2025-02-22 13:17
4.6M
ombi-openrc-4.44.1-r0.apk
2024-10-25 21:08
1.9K
ombi-4.44.1-r0.apk
2024-10-25 21:08
19M
olsrd-plugins-0.9.8-r3.apk
2024-10-25 21:08
164K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 21:08
1.9K
olsrd-doc-0.9.8-r3.apk
2024-10-25 21:08
25K
olsrd-0.9.8-r3.apk
2024-10-25 21:08
156K
olab-0.1.8-r0.apk
2024-10-25 21:08
3.4M
ol-doc-2.4-r0.apk
2024-10-25 21:08
2.6K
ol-2.4-r0.apk
2024-10-25 21:08
1.0M
oils-for-unix-doc-0.26.0-r1.apk
2025-02-04 18:20
7.0K
oils-for-unix-binsh-0.26.0-r1.apk
2025-02-04 18:20
1.5K
oils-for-unix-bash-0.26.0-r1.apk
2025-02-04 18:20
1.5K
oils-for-unix-0.26.0-r1.apk
2025-02-04 18:20
827K
oil-doc-0.21.0-r0.apk
2024-10-25 21:08
7.0K
oil-0.21.0-r0.apk
2024-10-25 21:08
1.4M
ode-0.16.6-r0.apk
2025-01-25 08:04
536K
octoprint-pyc-1.10.3-r0.apk
2024-12-25 23:04
1.2M
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 21:08
13K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 21:08
31K
octoprint-openrc-1.10.3-r0.apk
2024-12-25 23:04
1.7K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 21:08
18K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 21:08
29K
octoprint-filecheck-pyc-2024.3.27-r1.apk
2024-10-25 21:08
11K
octoprint-filecheck-2024.3.27-r1.apk
2024-10-25 21:08
28K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 21:08
3.3K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 21:08
4.7K
octoprint-1.10.3-r0.apk
2024-12-25 23:04
3.0M
ocp-index-vim-1.3.6-r0.apk
2024-10-25 21:08
3.0K
ocp-index-emacs-1.3.6-r0.apk
2024-10-25 21:08
6.3K
ocp-index-doc-1.3.6-r0.apk
2024-10-25 21:08
31K
ocp-index-1.3.6-r0.apk
2024-10-25 21:08
5.4M
ocp-indent-vim-1.8.2-r2.apk
2024-10-25 21:08
2.4K
ocp-indent-emacs-1.8.2-r2.apk
2024-10-25 21:08
3.7K
ocp-indent-doc-1.8.2-r2.apk
2024-10-25 21:08
17K
ocp-indent-1.8.2-r2.apk
2024-10-25 21:08
1.1M
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 21:08
69K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 21:08
45K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 21:08
1.0M
ocamlnet-tcl-4.1.9-r2.apk
2024-10-25 21:08
50K
ocamlnet-dev-4.1.9-r2.apk
2024-10-25 21:08
5.9M
ocamlnet-4.1.9-r2.apk
2024-10-25 21:08
16M
ocaml-zed-dev-3.1.0-r3.apk
2024-10-25 21:08
1.1M
ocaml-zed-3.1.0-r3.apk
2024-10-25 21:08
518K
ocaml-yojson-dev-2.1.2-r0.apk
2024-10-25 21:08
1.7M
ocaml-yojson-2.1.2-r0.apk
2024-10-25 21:08
1.0M
ocaml-xtmpl-dev-0.19.0-r0.apk
2024-10-25 21:08
777K
ocaml-xtmpl-0.19.0-r0.apk
2024-10-25 21:08
8.8M
ocaml-xmlm-dev-1.4.0-r2.apk
2024-10-25 21:08
100K
ocaml-xmlm-1.4.0-r2.apk
2024-10-25 21:08
547K
ocaml-xml-light-dev-2.5-r0.apk
2024-10-25 21:08
386K
ocaml-xml-light-2.5-r0.apk
2024-10-25 21:08
217K
ocaml-x509-dev-0.16.0-r2.apk
2024-10-25 21:08
1.5M
ocaml-x509-0.16.0-r2.apk
2024-10-25 21:08
836K
ocaml-uutf-dev-1.0.3-r2.apk
2024-10-25 21:08
55K
ocaml-uutf-1.0.3-r2.apk
2024-10-25 21:08
610K
ocaml-uuseg-tools-14.0.0-r2.apk
2024-10-25 21:08
1.3M
ocaml-uuseg-dev-14.0.0-r2.apk
2024-10-25 21:08
46K
ocaml-uuseg-14.0.0-r2.apk
2024-10-25 21:08
92K
ocaml-uunf-dev-14.0.0-r2.apk
2024-10-25 21:08
222K
ocaml-uunf-14.0.0-r2.apk
2024-10-25 21:08
1.1M
ocaml-uuidm-tools-0.9.8-r2.apk
2024-10-25 21:08
433K
ocaml-uuidm-dev-0.9.8-r2.apk
2024-10-25 21:08
25K
ocaml-uuidm-0.9.8-r2.apk
2024-10-25 21:08
45K
ocaml-uucp-dev-14.0.0-r2.apk
2024-10-25 21:08
1.1M
ocaml-uucp-14.0.0-r2.apk
2024-10-25 21:08
5.1M
ocaml-uucd-dev-14.0.0-r2.apk
2024-10-25 21:08
172K
ocaml-uucd-14.0.0-r2.apk
2024-10-25 21:08
279K
ocaml-utop-dev-2.9.1-r4.apk
2024-10-25 21:08
763K
ocaml-utop-2.9.1-r4.apk
2024-10-25 21:08
349K
ocaml-uri-dev-4.2.0-r2.apk
2024-10-25 21:08
4.3M
ocaml-uri-4.2.0-r2.apk
2024-10-25 21:08
1.4M
ocaml-tsdl-ttf-dev-0.6-r0.apk
2024-10-25 21:08
109K
ocaml-tsdl-ttf-0.6-r0.apk
2024-10-25 21:08
62K
ocaml-tsdl-image-dev-0.6-r0.apk
2024-10-25 21:08
78K
ocaml-tsdl-image-0.6-r0.apk
2024-10-25 21:08
47K
ocaml-tsdl-dev-1.0.0-r0.apk
2024-10-25 21:08
576K
ocaml-tsdl-1.0.0-r0.apk
2024-10-25 21:08
919K
ocaml-trie-dev-1.0.0-r2.apk
2024-10-25 21:08
25K
ocaml-trie-1.0.0-r2.apk
2024-10-25 21:08
16K
ocaml-topkg-dev-1.0.5-r2.apk
2024-10-25 21:08
407K
ocaml-topkg-1.0.5-r2.apk
2024-10-25 21:08
613K
ocaml-tophide-1.0.4-r2.apk
2024-10-25 21:08
5.6K
ocaml-tls-dev-0.15.3-r4.apk
2024-10-25 21:08
2.4M
ocaml-tls-0.15.3-r4.apk
2024-10-25 21:08
1.2M
ocaml-tcpip-dev-7.1.2-r3.apk
2024-10-25 21:08
2.4M
ocaml-tcpip-7.1.2-r3.apk
2024-10-25 21:08
1.2M
ocaml-stringext-dev-1.6.0-r2.apk
2024-10-25 21:08
78K
ocaml-stringext-1.6.0-r2.apk
2024-10-25 21:08
43K
ocaml-stk-dev-0.1.0-r0.apk
2024-10-25 21:08
9.9M
ocaml-stk-0.1.0-r0.apk
2024-10-25 21:08
6.1M
ocaml-stdlib-shims-0.3.0-r2.apk
2024-10-25 21:08
4.2K
ocaml-ssl-dev-0.7.0-r0.apk
2024-10-25 21:08
208K
ocaml-ssl-0.7.0-r0.apk
2024-10-25 21:08
93K
ocaml-sha-dev-1.15.4-r0.apk
2024-10-25 21:08
247K
ocaml-sha-1.15.4-r0.apk
2024-10-25 21:08
89K
ocaml-sexplib0-dev-0.16.0-r0.apk
2024-10-25 21:08
335K
ocaml-sexplib0-0.16.0-r0.apk
2024-10-25 21:08
171K
ocaml-sexplib-dev-0.16.0-r0.apk
2024-10-25 21:08
822K
ocaml-sexplib-0.16.0-r0.apk
2024-10-25 21:08
486K
ocaml-seq-dev-0.3.1-r2.apk
2024-10-25 21:08
20K
ocaml-seq-0.3.1-r2.apk
2024-10-25 21:08
14K
ocaml-sedlex-dev-3.2-r0.apk
2024-10-25 21:08
1.5M
ocaml-sedlex-3.2-r0.apk
2024-10-25 21:08
4.1M
ocaml-rresult-dev-0.7.0-r2.apk
2024-10-25 21:08
35K
ocaml-rresult-0.7.0-r2.apk
2024-10-25 21:08
38K
ocaml-result-dev-1.5-r2.apk
2024-10-25 21:08
7.9K
ocaml-result-1.5-r2.apk
2024-10-25 21:08
9.0K
ocaml-reason-dev-3.8.2-r1.apk
2024-10-25 21:08
29M
ocaml-reason-3.8.2-r1.apk
2024-10-25 21:08
15M
ocaml-react-dev-1.2.2-r2.apk
2024-10-25 21:08
198K
ocaml-react-1.2.2-r2.apk
2024-10-25 21:08
283K
ocaml-re-dev-1.11.0-r1.apk
2024-10-25 21:08
1.0M
ocaml-re-1.11.0-r1.apk
2024-10-25 21:08
524K
ocaml-randomconv-dev-0.1.3-r2.apk
2024-10-25 21:08
18K
ocaml-randomconv-0.1.3-r2.apk
2024-10-25 21:08
14K
ocaml-qtest-dev-2.11.2-r3.apk
2024-10-25 21:08
3.6K
ocaml-qtest-2.11.2-r3.apk
2024-10-25 21:08
317K
ocaml-qcheck-dev-0.18.1-r3.apk
2024-10-25 21:08
1.3M
ocaml-qcheck-0.18.1-r3.apk
2024-10-25 21:08
668K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-10-25 21:08
94K
ocaml-ptmap-2.0.5-r3.apk
2024-10-25 21:08
54K
ocaml-ptime-dev-1.0.0-r2.apk
2024-10-25 21:08
76K
ocaml-ptime-1.0.0-r2.apk
2024-10-25 21:08
112K
ocaml-psq-dev-0.2.0-r2.apk
2024-10-25 21:08
174K
ocaml-psq-0.2.0-r2.apk
2024-10-25 21:08
97K
ocaml-ppxlib-dev-0.32.0-r0.apk
2024-10-25 21:08
16M
ocaml-ppxlib-0.32.0-r0.apk
2024-10-25 21:08
13M
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
2024-10-25 21:08
1.1M
ocaml-ppx_sexp_conv-0.16.0-r0.apk
2024-10-25 21:08
580K
ocaml-ppx_deriving-dev-5.3.0-r0.apk
2024-10-25 21:08
1.3M
ocaml-ppx_deriving-5.3.0-r0.apk
2024-10-25 21:08
4.9M
ocaml-ppx_derivers-dev-1.2.1-r2.apk
2024-10-25 21:08
11K
ocaml-ppx_derivers-1.2.1-r2.apk
2024-10-25 21:08
9.5K
ocaml-ppx_blob-dev-0.8.0-r0.apk
2024-10-25 21:08
19K
ocaml-ppx_blob-0.8.0-r0.apk
2024-10-25 21:08
3.7M
ocaml-pcre-dev-7.5.0-r4.apk
2024-10-25 21:07
302K
ocaml-pcre-7.5.0-r4.apk
2024-10-25 21:07
147K
ocaml-pbkdf-dev-1.2.0-r2.apk
2024-10-25 21:07
25K
ocaml-pbkdf-1.2.0-r2.apk
2024-10-25 21:07
17K
ocaml-parsexp-dev-0.16.0-r0.apk
2024-10-25 21:07
938K
ocaml-parsexp-0.16.0-r0.apk
2024-10-25 21:07
398K
ocaml-ounit-dev-2.2.7-r3.apk
2024-10-25 21:07
1.0M
ocaml-ounit-2.2.7-r3.apk
2024-10-25 21:07
536K
ocaml-otr-dev-0.3.10-r2.apk
2024-10-25 21:07
527K
ocaml-otr-0.3.10-r2.apk
2024-10-25 21:07
284K
ocaml-otoml-dev-1.0.5-r0.apk
2024-10-25 21:07
780K
ocaml-otoml-1.0.5-r0.apk
2024-10-25 21:07
444K
ocaml-omod-dev-0.0.3-r3.apk
2024-10-25 21:07
214K
ocaml-omod-bin-0.0.3-r3.apk
2024-10-25 21:07
2.7M
ocaml-omod-0.0.3-r3.apk
2024-10-25 21:07
330K
ocaml-omake-doc-0.10.6-r0.apk
2024-10-25 21:07
8.0K
ocaml-omake-0.10.6-r0.apk
2024-10-25 21:07
1.6M
ocaml-ocplib-endian-dev-1.2-r3.apk
2024-10-25 21:07
261K
ocaml-ocplib-endian-1.2-r3.apk
2024-10-25 21:07
157K
ocaml-ocp-index-dev-1.3.6-r0.apk
2024-10-25 21:07
529K
ocaml-ocp-index-1.3.6-r0.apk
2024-10-25 21:07
461K
ocaml-ocp-indent-dev-1.8.2-r2.apk
2024-10-25 21:07
638K
ocaml-ocp-indent-1.8.2-r2.apk
2024-10-25 21:07
538K
ocaml-ocf-dev-0.8.0-r3.apk
2024-10-25 21:07
324K
ocaml-ocf-0.8.0-r3.apk
2024-10-25 21:07
7.8M
ocaml-obuild-0.1.11-r0.apk
2024-10-25 21:07
1.1M
ocaml-num-dev-1.4-r3.apk
2024-10-25 21:07
94K
ocaml-num-1.4-r3.apk
2024-10-25 21:07
256K
ocaml-notty-dev-0.2.3-r0.apk
2024-10-25 21:07
608K
ocaml-notty-0.2.3-r0.apk
2024-10-25 21:07
308K
ocaml-mtime-dev-1.4.0-r2.apk
2024-10-25 21:07
48K
ocaml-mtime-1.4.0-r2.apk
2024-10-25 21:07
49K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-10-25 21:07
259K
ocaml-mqtt-0.2.2-r0.apk
2024-10-25 21:07
156K
ocaml-mmap-dev-1.2.0-r3.apk
2024-10-25 21:07
6.5K
ocaml-mmap-1.2.0-r3.apk
2024-10-25 21:07
7.2K
ocaml-mirage-time-dev-3.0.0-r4.apk
2024-10-25 21:07
8.2K
ocaml-mirage-time-3.0.0-r4.apk
2024-10-25 21:07
9.9K
ocaml-mirage-random-dev-3.0.0-r3.apk
2024-10-25 21:07
5.4K
ocaml-mirage-random-3.0.0-r3.apk
2024-10-25 21:07
7.0K
ocaml-mirage-profile-dev-0.9.1-r3.apk
2024-10-25 21:07
31K
ocaml-mirage-profile-0.9.1-r3.apk
2024-10-25 21:07
24K
ocaml-mirage-net-dev-4.0.0-r3.apk
2024-10-25 21:07
20K
ocaml-mirage-net-4.0.0-r3.apk
2024-10-25 21:07
12K
ocaml-mirage-kv-dev-4.0.1-r3.apk
2024-10-25 21:07
43K
ocaml-mirage-kv-4.0.1-r3.apk
2024-10-25 21:07
20K
ocaml-mirage-flow-dev-3.0.0-r3.apk
2024-10-25 21:07
193K
ocaml-mirage-flow-3.0.0-r3.apk
2024-10-25 21:07
110K
ocaml-mirage-crypto-dev-0.10.6-r3.apk
2024-10-25 21:07
5.2M
ocaml-mirage-crypto-0.10.6-r3.apk
2024-10-25 21:07
1.1M
ocaml-mirage-clock-dev-4.2.0-r2.apk
2024-10-25 21:07
34K
ocaml-mirage-clock-4.2.0-r2.apk
2024-10-25 21:07
28K
ocaml-mikmatch-1.0.9-r2.apk
2024-10-25 21:07
145K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-10-25 21:07
296K
ocaml-mew_vi-0.5.0-r3.apk
2024-10-25 21:07
185K
ocaml-mew-dev-0.1.0-r3.apk
2024-10-25 21:07
96K
ocaml-mew-0.1.0-r3.apk
2024-10-25 21:07
69K
ocaml-metrics-dev-0.4.0-r3.apk
2024-10-25 21:07
450K
ocaml-metrics-0.4.0-r3.apk
2024-10-25 21:07
245K
ocaml-merlin-extend-dev-0.6.1-r2.apk
2024-10-25 21:07
91K
ocaml-merlin-extend-0.6.1-r2.apk
2024-10-25 21:07
49K
ocaml-menhir-doc-20220210-r3.apk
2024-12-08 17:19
610K
ocaml-menhir-dev-20220210-r3.apk
2024-12-08 17:19
898K
ocaml-menhir-20220210-r3.apk
2024-12-08 17:19
1.3M
ocaml-markup-dev-1.0.3-r3.apk
2024-10-25 21:07
2.1M
ocaml-markup-1.0.3-r3.apk
2024-10-25 21:07
1.0M
ocaml-magic-mime-dev-1.3.1-r0.apk
2024-10-25 21:07
321K
ocaml-magic-mime-1.3.1-r0.apk
2024-10-25 21:07
223K
ocaml-lwt_ssl-dev-1.2.0-r0.apk
2024-10-25 21:07
47K
ocaml-lwt_ssl-1.2.0-r0.apk
2024-10-25 21:07
29K
ocaml-lwt_react-5.7.0-r0.apk
2024-10-25 21:07
120K
ocaml-lwt_ppx-5.7.0-r0.apk
2024-10-25 21:07
3.8M
ocaml-lwt_log-dev-1.1.1-r5.apk
2024-10-25 21:07
256K
ocaml-lwt_log-1.1.1-r5.apk
2024-10-25 21:07
132K
ocaml-lwt-dllist-dev-1.0.1-r3.apk
2024-10-25 21:07
40K
ocaml-lwt-dllist-1.0.1-r3.apk
2024-10-25 21:07
23K
ocaml-lwt-dev-5.7.0-r0.apk
2024-10-25 21:07
3.1M
ocaml-lwt-5.7.0-r0.apk
2024-10-25 21:07
1.2M
ocaml-lwd-dev-0.3-r0.apk
2024-10-25 21:07
1.0M
ocaml-lwd-0.3-r0.apk
2024-10-25 21:07
490K
ocaml-lru-dev-0.3.0-r2.apk
2024-10-25 21:07
162K
ocaml-lru-0.3.0-r2.apk
2024-10-25 21:07
76K
ocaml-logs-dev-0.7.0-r3.apk
2024-10-25 21:07
85K
ocaml-logs-0.7.0-r3.apk
2024-10-25 21:07
114K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-10-25 21:07
14K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-10-25 21:07
97K
ocaml-libvirt-0.6.1.7-r0.apk
2024-10-25 21:07
174K
ocaml-lambdasoup-dev-0.7.3-r2.apk
2024-10-25 21:07
348K
ocaml-lambdasoup-0.7.3-r2.apk
2024-10-25 21:07
190K
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-10-25 21:07
8.8K
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-10-25 21:07
3.8M
ocaml-lambda-term-3.2.0-r4.apk
2024-10-25 21:07
3.4M
ocaml-labltk-dev-8.06.12-r2.apk
2024-10-25 21:07
1.5M
ocaml-labltk-8.06.12-r2.apk
2024-10-25 21:07
2.9M
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
2024-10-25 21:07
1.5M
ocaml-lablgtk3-extras-3.0.1-r2.apk
2024-10-25 21:07
881K
ocaml-lablgtk3-dev-3.1.2-r3.apk
2024-10-25 21:07
14M
ocaml-lablgtk3-3.1.2-r3.apk
2024-10-25 21:07
7.8M
ocaml-jsonm-tools-1.0.2-r0.apk
2024-10-25 21:07
435K
ocaml-jsonm-dev-1.0.2-r0.apk
2024-10-25 21:07
67K
ocaml-jsonm-1.0.2-r0.apk
2024-10-25 21:07
119K
ocaml-iso8601-dev-0.2.6-r0.apk
2024-10-25 21:07
87K
ocaml-iso8601-0.2.6-r0.apk
2024-10-25 21:07
52K
ocaml-iri-dev-1.0.0-r0.apk
2024-10-25 21:07
770K
ocaml-iri-1.0.0-r0.apk
2024-10-25 21:07
1.8M
ocaml-ipaddr-dev-5.3.1-r2.apk
2024-10-25 21:07
562K
ocaml-ipaddr-5.3.1-r2.apk
2024-10-25 21:07
313K
ocaml-integers-dev-0.7.0-r2.apk
2024-10-25 21:07
274K
ocaml-integers-0.7.0-r2.apk
2024-10-25 21:07
128K
ocaml-hkdf-dev-1.0.4-r2.apk
2024-10-25 21:07
18K
ocaml-hkdf-1.0.4-r2.apk
2024-10-25 21:07
14K
ocaml-higlo-dev-0.9-r0.apk
2024-10-25 21:07
677K
ocaml-higlo-0.9-r0.apk
2024-10-25 21:07
4.9M
ocaml-hex-dev-1.5.0-r2.apk
2024-10-25 21:07
53K
ocaml-hex-1.5.0-r2.apk
2024-10-25 21:07
29K
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2024-10-25 21:07
2.4M
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
2024-10-25 21:07
59K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
2024-10-25 21:07
39K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
2024-10-25 21:07
149K
ocaml-happy-eyeballs-0.3.0-r2.apk
2024-10-25 21:07
84K
ocaml-gmap-dev-0.3.0-r2.apk
2024-10-25 21:07
75K
ocaml-gmap-0.3.0-r2.apk
2024-10-25 21:07
36K
ocaml-gitlab-dev-0.1.8-r0.apk
2024-10-25 21:07
12M
ocaml-gitlab-0.1.8-r0.apk
2024-10-25 21:07
3.0M
ocaml-gettext-doc-0.4.2-r3.apk
2024-10-25 21:07
19K
ocaml-gettext-dev-0.4.2-r3.apk
2024-10-25 21:07
725K
ocaml-gettext-0.4.2-r3.apk
2024-10-25 21:07
3.4M
ocaml-gen-dev-1.1-r1.apk
2024-10-25 21:07
613K
ocaml-gen-1.1-r1.apk
2024-10-25 21:07
323K
ocaml-fpath-dev-0.7.3-r2.apk
2024-10-25 21:07
103K
ocaml-fpath-0.7.3-r2.apk
2024-10-25 21:07
139K
ocaml-fmt-dev-0.9.0-r2.apk
2024-10-25 21:07
126K
ocaml-fmt-0.9.0-r2.apk
2024-10-25 21:07
191K
ocaml-fix-dev-20220121-r2.apk
2024-10-25 21:07
429K
ocaml-fix-20220121-r2.apk
2024-10-25 21:07
167K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-10-25 21:07
16K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-10-25 21:07
598K
ocaml-fileutils-0.6.4-r2.apk
2024-10-25 21:07
314K
ocaml-ezxmlm-dev-1.1.0-r0.apk
2024-10-25 21:07
52K
ocaml-ezxmlm-1.1.0-r0.apk
2024-10-25 21:07
32K
ocaml-extlib-doc-1.7.9-r2.apk
2024-10-25 21:07
11K
ocaml-extlib-dev-1.7.9-r2.apk
2024-10-25 21:07
1.3M
ocaml-extlib-1.7.9-r2.apk
2024-10-25 21:07
637K
ocaml-ethernet-dev-3.0.0-r3.apk
2024-10-25 21:07
83K
ocaml-ethernet-3.0.0-r3.apk
2024-10-25 21:07
43K
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
2024-10-25 21:07
1.7M
ocaml-erm_xmpp-0_git20220404-r2.apk
2024-10-25 21:07
1.3M
ocaml-erm_xml-dev-0_git20211229-r2.apk
2024-10-25 21:07
778K
ocaml-erm_xml-0_git20211229-r2.apk
2024-10-25 21:07
582K
ocaml-eqaf-dev-0.8-r2.apk
2024-10-25 21:07
120K
ocaml-eqaf-0.8-r2.apk
2024-10-25 21:07
68K
ocaml-easy-format-dev-1.3.4-r1.apk
2024-10-25 21:07
111K
ocaml-easy-format-1.3.4-r1.apk
2024-10-25 21:07
59K
ocaml-duration-dev-0.2.0-r2.apk
2024-10-25 21:07
45K
ocaml-duration-0.2.0-r2.apk
2024-10-25 21:07
27K
ocaml-down-dev-0.1.0-r3.apk
2024-10-25 21:07
333K
ocaml-down-0.1.0-r3.apk
2024-10-25 21:07
627K
ocaml-domain-name-dev-0.4.0-r2.apk
2024-10-25 21:07
141K
ocaml-domain-name-0.4.0-r2.apk
2024-10-25 21:07
74K
ocaml-dns-tools-6.2.2-r3.apk
2024-10-25 21:07
10M
ocaml-dns-dev-6.2.2-r3.apk
2024-10-25 21:07
4.2M
ocaml-dns-6.2.2-r3.apk
2024-10-25 21:07
2.2M
ocaml-curses-dev-1.0.10-r2.apk
2024-10-25 21:07
312K
ocaml-curses-1.0.10-r2.apk
2024-10-25 21:07
134K
ocaml-ctypes-dev-0.20.1-r2.apk
2024-10-25 21:07
850K
ocaml-ctypes-0.20.1-r2.apk
2024-10-25 21:07
876K
ocaml-cstruct-dev-6.1.0-r3.apk
2024-10-25 21:07
709K
ocaml-cstruct-6.1.0-r3.apk
2024-10-25 21:07
4.1M
ocaml-containers-top-3.7-r2.apk
2024-10-25 21:07
22K
ocaml-containers-dev-3.7-r2.apk
2024-10-25 21:07
6.7M
ocaml-containers-3.7-r2.apk
2024-10-25 21:07
3.5M
ocaml-conduit-dev-6.1.0-r0.apk
2024-10-25 21:07
549K
ocaml-conduit-6.1.0-r0.apk
2024-10-25 21:07
291K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
2024-10-25 21:07
104K
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
2024-10-25 21:07
77K
ocaml-cohttp-tools-5.3.1-r0.apk
2024-10-25 21:07
7.6M
ocaml-cohttp-dev-5.3.1-r0.apk
2024-10-25 21:07
1.3M
ocaml-cohttp-5.3.1-r0.apk
2024-10-25 21:07
677K
ocaml-cmdliner-doc-1.1.1-r3.apk
2024-10-25 21:07
20K
ocaml-cmdliner-dev-1.1.1-r3.apk
2024-10-25 21:07
241K
ocaml-cmdliner-1.1.1-r3.apk
2024-10-25 21:07
469K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-10-25 21:07
189K
ocaml-charinfo_width-1.1.0-r3.apk
2024-10-25 21:07
104K
ocaml-camomile-dev-1.0.2-r3.apk
2024-10-25 21:07
2.5M
ocaml-camomile-data-1.0.2-r3.apk
2024-10-25 21:07
5.1M
ocaml-camomile-1.0.2-r3.apk
2024-10-25 21:07
1.3M
ocaml-camlzip-dev-1.11-r2.apk
2024-10-25 21:07
220K
ocaml-camlzip-1.11-r2.apk
2024-10-25 21:07
113K
ocaml-calendar-doc-2.04-r4.apk
2024-10-25 21:07
12K
ocaml-calendar-dev-2.04-r4.apk
2024-10-25 21:07
162K
ocaml-calendar-2.04-r4.apk
2024-10-25 21:07
219K
ocaml-cairo2-dev-0.6.2-r2.apk
2024-10-25 21:07
449K
ocaml-cairo2-0.6.2-r2.apk
2024-10-25 21:07
163K
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
2024-10-25 21:07
1.5M
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
2024-10-25 21:07
447K
ocaml-ca-certs-nss-3.89.1-r1.apk
2024-10-25 21:07
395K
ocaml-ca-certs-dev-0.2.2-r2.apk
2024-10-25 21:07
40K
ocaml-ca-certs-0.2.2-r2.apk
2024-10-25 21:07
28K
ocaml-bos-dev-0.2.1-r2.apk
2024-10-25 21:07
351K
ocaml-bos-0.2.1-r2.apk
2024-10-25 21:07
461K
ocaml-bitstring-dev-4.1.0-r3.apk
2024-10-25 21:07
579K
ocaml-bitstring-4.1.0-r3.apk
2024-10-25 21:07
4.0M
ocaml-bisect_ppx-dev-2.8.3-r0.apk
2024-10-25 21:07
551K
ocaml-bisect_ppx-2.8.3-r0.apk
2024-10-25 21:07
4.5M
ocaml-biniou-dev-1.2.1-r5.apk
2024-10-25 21:07
365K
ocaml-biniou-1.2.1-r5.apk
2024-10-25 21:07
555K
ocaml-bigstringaf-dev-0.9.0-r2.apk
2024-10-25 21:07
91K
ocaml-bigstringaf-0.9.0-r2.apk
2024-10-25 21:07
46K
ocaml-bigarray-compat-dev-1.1.0-r2.apk
2024-10-25 21:07
12K
ocaml-bigarray-compat-1.1.0-r2.apk
2024-10-25 21:07
12K
ocaml-base64-dev-3.5.0-r2.apk
2024-10-25 21:07
175K
ocaml-base64-3.5.0-r2.apk
2024-10-25 21:07
89K
ocaml-base-dev-0.16.3-r0.apk
2024-10-25 21:07
9.6M
ocaml-base-0.16.3-r0.apk
2024-10-25 21:07
4.6M
ocaml-atd-dev-2.15.0-r0.apk
2024-10-25 21:07
1.9M
ocaml-atd-2.15.0-r0.apk
2024-10-25 21:07
6.6M
ocaml-astring-dev-0.8.5-r2.apk
2024-10-25 21:07
180K
ocaml-astring-0.8.5-r2.apk
2024-10-25 21:07
286K
ocaml-asn1-combinators-dev-0.2.6-r2.apk
2024-10-25 21:07
574K
ocaml-asn1-combinators-0.2.6-r2.apk
2024-10-25 21:07
316K
ocaml-arp-dev-3.0.0-r3.apk
2024-10-25 21:07
159K
ocaml-arp-3.0.0-r3.apk
2024-10-25 21:07
84K
ocaml-angstrom-dev-0.16.0-r0.apk
2024-10-25 21:07
341K
ocaml-angstrom-0.16.0-r0.apk
2024-10-25 21:07
176K
ocaml-amqp-client-dev-2.3.0-r0.apk
2024-10-25 21:07
1.0M
ocaml-amqp-client-2.3.0-r0.apk
2024-10-25 21:07
605K
ocaml-alcotest-dev-1.5.0-r4.apk
2024-10-25 21:07
821K
ocaml-alcotest-1.5.0-r4.apk
2024-10-25 21:07
460K
oblibs-dev-0.3.2.1-r0.apk
2025-01-25 17:46
56K
oblibs-0.3.2.1-r0.apk
2025-01-25 17:46
27K
objconv-2.52_git20210213-r2.apk
2024-10-25 21:07
211K
oauth2-proxy-openrc-7.6.0-r8.apk
2025-02-10 04:38
2.1K
oauth2-proxy-7.6.0-r8.apk
2025-02-10 04:38
7.5M
nzbget-openrc-24.5-r0.apk
2024-12-24 11:41
2.0K
nzbget-24.5-r0.apk
2024-12-24 11:41
4.6M
nymphcast-mediaserver-nftables-0.1-r3.apk
2024-10-25 21:07
1.7K
nymphcast-mediaserver-0.1-r3.apk
2024-10-25 21:07
103K
nwg-panel-pyc-0.9.62-r0.apk
2025-01-25 08:04
253K
nwg-panel-doc-0.9.62-r0.apk
2025-01-25 08:04
4.2K
nwg-panel-0.9.62-r0.apk
2025-01-25 08:04
275K
nwg-dock-0.3.9-r8.apk
2025-02-10 04:38
1.5M
nwg-displays-pyc-0.3.13-r1.apk
2024-10-25 21:07
35K
nwg-displays-0.3.13-r1.apk
2024-10-25 21:07
24K
nwg-bar-0.1.6-r7.apk
2025-02-10 04:38
1.4M
nvtop-doc-3.1.0-r0.apk
2024-10-25 21:07
3.5K
nvtop-3.1.0-r0.apk
2024-10-25 21:07
58K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 21:07
1.8K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 21:07
4.4K
nvimpager-0.12.0-r0.apk
2024-10-25 21:07
13K
nvim-web-devicons-doc-0.100_git20241011-r0.apk
2024-11-11 04:57
7.1K
nvim-web-devicons-0.100_git20241011-r0.apk
2024-11-11 04:57
27K
nvim-treesitter-doc-0.9.3-r0.apk
2024-11-04 04:04
30K
nvim-treesitter-0.9.3-r0.apk
2024-11-04 04:04
388K
nvim-plenary-doc-0.1.4_git20240917-r0.apk
2024-11-11 05:07
10K
nvim-plenary-0.1.4_git20240917-r0.apk
2024-11-11 05:07
102K
nvim-packer-doc-0.0.0_git20220910-r1.apk
2024-10-25 21:07
21K
nvim-packer-0.0.0_git20220910-r1.apk
2024-10-25 21:07
46K
nvim-lualine-doc-0.0.0_git20241101-r0.apk
2024-11-14 00:16
31K
nvim-lualine-0.0.0_git20241101-r0.apk
2024-11-14 00:16
60K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2024-10-25 21:07
2.8K
nvim-gruvbox-0.0.0_git20221212-r1.apk
2024-10-25 21:07
10K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 21:07
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 21:07
3.8K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 21:07
2.0K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 21:07
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 21:07
2.6K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 21:07
3.5K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 21:07
10K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 21:07
1.8K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 21:07
3.3K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 21:07
4.2K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 21:07
7.8K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 21:07
55K
nuzzle-doc-1.6-r0.apk
2025-01-25 08:04
3.2K
nuzzle-1.6-r0.apk
2025-01-25 08:04
11K
numbat-doc-1.9.0-r0.apk
2024-10-25 21:07
25K
numbat-1.9.0-r0.apk
2024-10-25 21:07
1.0M
nullmailer-openrc-2.2-r4.apk
2024-10-25 21:07
1.6K
nullmailer-doc-2.2-r4.apk
2024-10-25 21:07
10K
nullmailer-2.2-r4.apk
2024-10-25 21:07
74K
nuklear-doc-4.12.0-r0.apk
2024-10-25 21:07
42K
nuklear-4.12.0-r0.apk
2024-10-25 21:07
220K
ntpd-rs-openrc-1.4.0-r0.apk
2024-12-14 20:23
1.8K
ntpd-rs-doc-1.4.0-r0.apk
2024-12-14 20:23
23K
ntpd-rs-1.4.0-r0.apk
2024-12-14 20:23
2.1M
nsq-1.3.0-r7.apk
2025-02-10 04:38
23M
nsnake-doc-3.0.0-r0.apk
2024-10-25 21:07
2.6K
nsnake-3.0.0-r0.apk
2024-10-25 21:07
8.2K
nsh-dbg-0.4.2-r1.apk
2024-10-25 21:07
3.3M
nsh-0.4.2-r1.apk
2024-10-25 21:07
529K
notification-daemon-3.20.0-r0.apk
2024-10-25 21:07
57K
normaliz-libs-3.10.4-r0.apk
2024-10-30 14:43
2.4M
normaliz-dev-3.10.4-r0.apk
2024-10-30 14:43
73K
normaliz-3.10.4-r0.apk
2024-10-30 14:43
37K
nom-2.6.1-r2.apk
2025-02-10 04:38
6.6M
noice-doc-0.8-r1.apk
2024-10-25 21:07
3.4K
noice-0.8-r1.apk
2024-10-25 21:07
8.2K
noggin-model-lightweight-0.1-r0.apk
2024-10-25 21:07
1.7M
noggin-model-0.1-r0.apk
2024-10-25 21:07
12M
noggin-doc-0.1-r14.apk
2025-02-10 04:38
2.9K
noggin-0.1-r14.apk
2025-02-10 04:38
1.3M
noblenote-1.2.1-r1.apk
2024-10-25 21:07
378K
nmon-16q-r0.apk
2024-10-25 21:07
78K
nmap-parse-output-doc-1.5.1-r0.apk
2024-10-25 21:07
807K
nmap-parse-output-bash-completion-1.5.1-r0.apk
2024-10-25 21:07
1.7K
nmap-parse-output-1.5.1-r0.apk
2024-10-25 21:07
21K
nm-tray-lang-0.5.0-r0.apk
2024-10-25 21:07
27K
nm-tray-0.5.0-r0.apk
2024-10-25 21:07
91K
nlopt-octave-2.10.0-r0.apk
2025-02-06 05:42
25K
nlopt-guile-2.10.0-r0.apk
2025-02-06 05:42
40K
nlopt-doc-2.10.0-r0.apk
2025-02-06 05:42
23K
nlopt-dev-2.10.0-r0.apk
2025-02-06 05:42
12K
nlopt-2.10.0-r0.apk
2025-02-06 05:42
159K
nkk-doc-0_git20221010-r0.apk
2024-10-25 21:07
7.0K
nkk-dev-0_git20221010-r0.apk
2024-10-25 21:07
2.9K
nkk-0_git20221010-r0.apk
2024-10-25 21:07
12K
nixpacks-0.1.7-r1.apk
2024-10-25 21:07
800K
nitrocli-doc-0.4.1-r3.apk
2024-10-25 21:07
8.8K
nitrocli-bash-completion-0.4.1-r3.apk
2024-10-25 21:07
3.2K
nitrocli-0.4.1-r3.apk
2024-10-25 21:07
377K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 21:07
190K
nitro-2.7_beta8-r2.apk
2024-10-25 21:07
473K
nicotine-plus-pyc-3.3.7-r0.apk
2024-12-16 15:21
781K
nicotine-plus-lang-3.3.7-r0.apk
2024-12-16 15:21
661K
nicotine-plus-doc-3.3.7-r0.apk
2024-12-16 15:21
2.5K
nicotine-plus-3.3.7-r0.apk
2024-12-16 15:21
1.5M
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 21:07
21K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 21:07
713K
nfoview-doc-2.0.1-r0.apk
2024-10-25 21:07
8.0K
nfoview-2.0.1-r0.apk
2024-10-25 21:07
39K
nextpnr-ice40-0.7-r0.apk
2024-10-25 21:07
68M
nextpnr-gowin-0.7-r0.apk
2024-10-25 21:07
1.4M
nextpnr-generic-0.7-r0.apk
2024-10-25 21:07
650K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 21:07
25M
nextpnr-0.7-r0.apk
2024-10-25 21:07
1.4K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 21:07
24K
newsyslog-1.2.0.91-r1.apk
2024-10-25 21:07
18K
netsurf-framebuffer-doc-3.11-r0.apk
2024-10-25 21:07
3.9K
netsurf-framebuffer-3.11-r0.apk
2024-10-25 21:07
2.5M
netsurf-doc-3.11-r0.apk
2024-10-25 21:07
4.4K
netsurf-3.11-r0.apk
2024-10-25 21:07
1.9M
netstandard21-targeting-pack-6.0.136-r1.apk
2024-11-25 06:20
1.9M
netsed-1.3-r3.apk
2024-10-25 21:07
9.3K
netscanner-doc-0.5.1-r1.apk
2024-10-25 21:07
3.3K
netscanner-0.5.1-r1.apk
2024-10-25 21:07
3.4M
netdiscover-doc-0.10-r0.apk
2024-10-25 21:07
22K
netdiscover-0.10-r0.apk
2024-10-25 21:07
487K
neofetch-doc-7.1.0-r2.apk
2024-11-07 06:39
6.2K
neofetch-7.1.0-r2.apk
2024-11-07 06:39
86K
neocmakelsp-zsh-completion-0.8.14-r0.apk
2025-01-12 14:22
2.5K
neocmakelsp-fish-completion-0.8.14-r0.apk
2025-01-12 14:22
2.2K
neocmakelsp-doc-0.8.14-r0.apk
2025-01-12 14:22
5.4K
neocmakelsp-bash-completion-0.8.14-r0.apk
2025-01-12 14:22
2.3K
neocmakelsp-0.8.14-r0.apk
2025-01-12 14:22
1.5M
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:07
20K
neko-doc-2.3.0-r0.apk
2024-11-21 02:04
20K
neko-dev-2.3.0-r0.apk
2024-11-21 02:04
10K
neko-2.3.0-r0.apk
2024-11-21 02:04
421K
neard-openrc-0.19-r0.apk
2024-10-25 21:07
1.7K
neard-doc-0.19-r0.apk
2024-10-25 21:07
5.6K
neard-dev-0.19-r0.apk
2024-10-25 21:07
11K
neard-0.19-r0.apk
2024-10-25 21:07
124K
nbsdgames-doc-5-r0.apk
2024-10-25 21:07
9.4K
nbsdgames-5-r0.apk
2024-10-25 21:07
85K
nb-zsh-completion-7.15.1-r0.apk
2025-02-04 18:20
2.9K
nb-full-7.15.1-r0.apk
2025-02-04 18:20
1.2K
nb-fish-completion-7.15.1-r0.apk
2025-02-04 18:20
2.7K
nb-doc-7.15.1-r0.apk
2025-02-04 18:20
76K
nb-bash-completion-7.15.1-r0.apk
2025-02-04 18:20
2.9K
nb-7.15.1-r0.apk
2025-02-04 18:20
151K
nauty-libs-2.8.9-r0.apk
2024-10-25 21:07
1.8M
nauty-dev-2.8.9-r0.apk
2024-10-25 21:07
2.5M
nauty-2.8.9-r0.apk
2024-10-25 21:07
4.6M
nano-hare-0_git20231021-r0.apk
2024-10-25 21:07
2.2K
n30f-2.0-r3.apk
2024-10-25 21:07
6.3K
mypaint-pyc-2.0.1-r1.apk
2024-10-25 21:07
1.1M
mypaint-lang-2.0.1-r1.apk
2024-10-25 21:07
1.2M
mypaint-2.0.1-r1.apk
2024-10-25 21:07
3.8M
mxclient-0_git20211002-r1.apk
2024-10-25 21:07
60K
musikcube-plugin-taglibreader-3.0.4-r1.apk
2025-01-26 20:29
30K
musikcube-plugin-supereqdsp-3.0.4-r1.apk
2025-01-26 20:29
22K
musikcube-plugin-stockencoders-3.0.4-r1.apk
2025-01-26 20:29
17K
musikcube-plugin-server-3.0.4-r1.apk
2025-01-26 20:29
327K
musikcube-plugin-openmpt-3.0.4-r1.apk
2025-01-26 20:29
24K
musikcube-plugin-mpris-3.0.4-r1.apk
2025-01-26 20:29
18K
musikcube-plugin-httpdatastream-3.0.4-r1.apk
2025-01-26 20:29
64K
musikcube-plugin-all-3.0.4-r1.apk
2025-01-26 20:29
1.3K
musikcube-dev-3.0.4-r1.apk
2025-01-26 20:29
19K
musikcube-3.0.4-r1.apk
2025-01-26 20:29
2.0M
muse-doc-4.2.1-r1.apk
2024-10-25 21:07
4.1M
muse-4.2.1-r1.apk
2024-10-25 21:07
5.6M
muon-wrap-0.4.0-r0.apk
2025-02-12 15:42
288K
muon-doc-0.4.0-r0.apk
2025-02-12 15:42
73K
muon-0.4.0-r0.apk
2025-02-12 15:42
287K
mtree-portable-doc-0_git20220519-r0.apk
2024-10-25 21:07
12K
mtree-portable-0_git20220519-r0.apk
2024-10-25 21:07
24K
mspdebug-doc-0.25-r1.apk
2024-10-25 21:07
14K
mspdebug-0.25-r1.apk
2024-10-25 21:07
161K
msh-openrc-2.5.0-r9.apk
2025-02-10 04:38
1.9K
msh-2.5.0-r9.apk
2025-02-10 04:38
2.7M
msgpuck-doc-2.0-r1.apk
2024-10-25 21:07
7.3K
msgpuck-dev-2.0-r1.apk
2024-10-25 21:07
22K
msgpuck-2.0-r1.apk
2024-10-25 21:07
1.2K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 21:07
50K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 21:07
10K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 21:07
206K
mrsh-0_git20210518-r1.apk
2024-10-25 21:07
5.0K
mqtt2prometheus-0.1.7-r13.apk
2025-02-10 04:38
4.1M
mpv-sponsorblock-2.1.0-r0.apk
2024-10-25 21:07
1.2M
mpop-vim-1.4.21-r0.apk
2025-01-14 06:15
2.6K
mpop-lang-1.4.21-r0.apk
2025-01-14 06:15
130K
mpop-doc-1.4.21-r0.apk
2025-01-14 06:15
33K
mpop-1.4.21-r0.apk
2025-01-14 06:15
60K
mpdris2-rs-doc-0.2.3-r0.apk
2024-10-25 21:07
14K
mpdris2-rs-0.2.3-r0.apk
2024-10-25 21:07
657K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 21:07
2.3K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 21:07
15K
mpdris2-0.9.1-r3.apk
2024-10-25 21:07
15K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 21:07
2.9K
mpdcron-doc-0.3-r1.apk
2024-10-25 21:07
13K
mpdcron-dev-0.3-r1.apk
2024-10-25 21:07
52K
mpdcron-0.3-r1.apk
2024-10-25 21:07
90K
mp3val-0.1.8-r1.apk
2024-10-25 21:07
12K
mp3gain-1.6.2-r3.apk
2025-01-03 17:47
30K
motion-openrc-4.7.0-r0.apk
2024-10-25 21:07
2.2K
motion-lang-4.7.0-r0.apk
2024-10-25 21:07
471K
motion-doc-4.7.0-r0.apk
2024-10-25 21:07
140K
motion-4.7.0-r0.apk
2024-10-25 21:07
133K
morph-browser-lang-1.1.2-r0.apk
2025-01-26 02:34
327K
morph-browser-1.1.2-r0.apk
2025-01-26 02:34
517K
moosefs-static-4.56.6-r0.apk
2025-02-22 13:17
704K
moosefs-metalogger-openrc-4.56.6-r0.apk
2025-02-22 13:17
1.6K
moosefs-metalogger-4.56.6-r0.apk
2025-02-22 13:17
38K
moosefs-master-openrc-4.56.6-r0.apk
2025-02-22 13:17
1.6K
moosefs-master-4.56.6-r0.apk
2025-02-22 13:17
340K
moosefs-doc-4.56.6-r0.apk
2025-02-22 13:17
95K
moosefs-client-4.56.6-r0.apk
2025-02-22 13:17
638K
moosefs-chunkserver-openrc-4.56.6-r0.apk
2025-02-22 13:17
1.6K
moosefs-chunkserver-4.56.6-r0.apk
2025-02-22 13:17
207K
moosefs-cgiserv-openrc-4.56.6-r0.apk
2025-02-22 13:17
1.9K
moosefs-cgiserv-4.56.6-r0.apk
2025-02-22 13:17
7.8K
moosefs-cgi-4.56.6-r0.apk
2025-02-22 13:17
121K
moosefs-4.56.6-r0.apk
2025-02-22 13:17
262K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 21:07
7.1K
moon-buggy-1.0.51-r1.apk
2024-10-25 21:07
32K
monopd-openrc-0.10.4-r0.apk
2025-01-11 12:11
1.7K
monopd-0.10.4-r0.apk
2025-01-11 12:11
84K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 21:07
89K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 21:07
159K
monetdb-doc-11.33.11-r4.apk
2024-10-25 21:07
321K
monetdb-dev-11.33.11-r4.apk
2024-10-25 21:07
77K
monetdb-11.33.11-r4.apk
2024-10-25 21:07
2.1M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 21:07
114M
moe-doc-1.14-r0.apk
2024-10-25 21:07
19K
moe-1.14-r0.apk
2024-10-25 21:07
92K
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 21:07
129K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 21:07
3.9M
modem-manager-gui-0.0.20-r0.apk
2024-10-25 21:07
305K
mod_dnssd-0.6-r0.apk
2024-10-25 21:07
7.7K
moccasin-doc-0.1.3-r0.apk
2024-10-25 21:07
5.3K
moccasin-0.1.3-r0.apk
2024-10-25 21:07
1.6M
mobroute-doc-0.9.0-r2.apk
2025-02-10 04:38
1.3M
mobroute-0.9.0-r2.apk
2025-02-10 04:38
4.2M
mobpass-pyc-0.2-r6.apk
2024-10-25 21:07
5.2K
mobpass-0.2-r6.apk
2024-10-25 21:07
18K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 21:07
60K
mnamer-2.5.5-r1.apk
2024-10-25 21:07
32K
mmtc-0.3.2-r0.apk
2024-10-25 21:07
438K
mml-zsh-completion-1.0.0-r0.apk
2024-10-25 21:07
2.8K
mml-fish-completion-1.0.0-r0.apk
2024-10-25 21:07
2.3K
mml-doc-1.0.0-r0.apk
2024-10-25 21:07
3.9K
mml-bash-completion-1.0.0-r0.apk
2024-10-25 21:07
2.3K
mml-1.0.0-r0.apk
2024-10-25 21:07
767K
mmix-0_git20221025-r0.apk
2024-10-25 21:07
165K
mm-doc-1.4.2-r1.apk
2024-10-25 21:07
14K
mm-dev-1.4.2-r1.apk
2024-10-25 21:07
11K
mm-common-doc-1.0.5-r0.apk
2024-10-25 21:07
32K
mm-common-1.0.5-r0.apk
2024-10-25 21:07
473K
mm-1.4.2-r1.apk
2024-10-25 21:07
6.7K
mlxl-0.1-r0.apk
2024-10-25 21:07
5.3K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 21:07
2.5K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 21:07
9.5K
mkosi-pyc-25.3-r0.apk
2025-02-04 18:20
393K
mkosi-25.3-r0.apk
2025-02-04 18:20
251K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 21:07
3.1K
mkg3a-0.5.0-r1.apk
2024-10-25 21:07
15K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 21:07
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 21:07
944K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 21:07
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 21:07
248K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 21:07
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 21:07
11K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 21:07
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 21:07
644K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 21:07
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 21:07
651K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 21:07
1.8K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 21:07
249K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 21:07
4.7K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 21:07
538K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 21:07
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 21:07
791K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 21:07
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 21:07
260K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 21:07
1.8K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 21:07
29K
mkcert-1.4.4-r16.apk
2025-02-10 04:38
1.6M
mjpg-streamer-input-raspicam-0_git20210220-r1.apk
2024-10-25 21:07
15K
mjpg-streamer-0_git20210220-r1.apk
2024-10-25 21:07
193K
mirrorhall-0.1.1-r1.apk
2025-01-14 14:44
26K
mir-test-tools-2.15.0-r5.apk
2025-02-22 13:17
184K
mir-dev-2.15.0-r5.apk
2025-02-22 13:17
4.2M
mir-demos-2.15.0-r5.apk
2025-02-22 13:17
103K
mir-2.15.0-r5.apk
2025-02-22 13:17
1.4M
mint-y-theme-xfwm4-2.1.1-r0.apk
2024-10-25 21:07
207K
mint-y-theme-metacity-2.1.1-r0.apk
2024-10-25 21:07
58K
mint-y-theme-gtk4-2.1.1-r0.apk
2024-10-25 21:07
1.6M
mint-y-theme-gtk3-2.1.1-r0.apk
2024-10-25 21:07
1.8M
mint-y-theme-gtk2-2.1.1-r0.apk
2024-10-25 21:07
656K
mint-y-theme-2.1.1-r0.apk
2024-10-25 21:07
4.1K
mint-y-icons-doc-1.8.3-r0.apk
2025-02-05 23:11
11K
mint-y-icons-1.8.3-r0.apk
2025-02-05 23:11
72M
mint-x-theme-xfwm4-2.1.1-r0.apk
2024-10-25 21:07
35K
mint-x-theme-metacity-2.1.1-r0.apk
2024-10-25 21:07
6.1K
mint-x-theme-gtk4-2.1.1-r0.apk
2024-10-25 21:07
510K
mint-x-theme-gtk3-2.1.1-r0.apk
2024-10-25 21:07
603K
mint-x-theme-gtk2-2.1.1-r0.apk
2024-10-25 21:07
490K
mint-x-theme-2.1.1-r0.apk
2024-10-25 21:07
2.3K
mint-x-icons-doc-1.7.2-r0.apk
2024-12-24 11:07
7.4K
mint-x-icons-1.7.2-r0.apk
2024-12-24 11:07
22M
mint-themes-doc-2.1.1-r0.apk
2024-10-25 21:07
13K
mint-themes-2.1.1-r0.apk
2024-10-25 21:07
2.2K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 21:07
1.9K
minisatip-1.3.4-r0.apk
2024-10-25 21:07
310K
minimodem-doc-0.24-r1.apk
2024-10-25 21:07
5.2K
minimodem-0.24-r1.apk
2024-10-25 21:07
19K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 21:07
1.8K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 21:07
5.1K
minidyndns-1.3.0-r3.apk
2024-10-25 21:07
12K
mimeo-pyc-2023-r2.apk
2024-10-25 21:07
42K
mimeo-2023-r2.apk
2024-10-25 21:07
28K
mimedefang-doc-3.5-r0.apk
2024-10-25 21:07
81K
mimedefang-3.5-r0.apk
2024-10-25 21:07
152K
mimalloc1-insecure-1.8.6-r0.apk
2024-10-25 21:07
49K
mimalloc1-dev-1.8.6-r0.apk
2024-10-25 21:07
386K
mimalloc1-debug-1.8.6-r0.apk
2024-10-25 21:07
178K
mimalloc1-1.8.6-r0.apk
2024-10-25 21:07
54K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 21:07
50K
milkytracker-1.04.00-r2.apk
2024-10-25 21:07
921K
metalang99-1.13.3-r0.apk
2024-10-25 21:07
54K
metadata-cleaner-lang-2.5.6-r0.apk
2025-02-01 18:06
66K
metadata-cleaner-doc-2.5.6-r0.apk
2025-02-01 18:06
1.9M
metadata-cleaner-2.5.6-r0.apk
2025-02-01 18:06
49K
mesonlsp-4.3.7-r1.apk
2025-02-22 13:17
2.0M
meson-tools-doc-0.1-r2.apk
2024-12-09 17:38
8.3K
meson-tools-0.1-r2.apk
2024-12-09 17:38
7.5K
merlin-vim-4.14-r0.apk
2024-10-25 21:07
28K
merlin-emacs-4.14-r0.apk
2024-10-25 21:07
29K
merlin-dev-4.14-r0.apk
2024-10-25 21:07
23M
merlin-4.14-r0.apk
2024-10-25 21:07
14M
mergerfs-doc-2.40.2-r1.apk
2024-10-25 21:07
42K
mergerfs-2.40.2-r1.apk
2024-10-25 21:07
255K
menumaker-0.99.14-r1.apk
2024-10-25 21:07
111K
memdump-doc-1.01-r1.apk
2024-10-25 21:07
3.1K
memdump-1.01-r1.apk
2024-10-25 21:07
5.2K
meli-doc-0.8.10-r0.apk
2024-12-16 11:10
47K
meli-0.8.10-r0.apk
2024-12-16 11:10
4.2M
melange-zsh-completion-0.20.0-r0.apk
2025-02-22 13:17
4.0K
melange-fish-completion-0.20.0-r0.apk
2025-02-22 13:17
4.3K
melange-bash-completion-0.20.0-r0.apk
2025-02-22 13:17
6.7K
melange-0.20.0-r0.apk
2025-02-22 13:17
15M
megazeux-doc-2.93b-r0.apk
2024-10-25 21:07
454K
megazeux-2.93b-r0.apk
2024-10-25 21:07
1.0M
megatools-doc-1.11.1.20241028-r0.apk
2024-10-29 20:34
52K
megatools-bash-completion-1.11.1.20241028-r0.apk
2024-10-29 20:34
4.0K
megatools-1.11.1.20241028-r0.apk
2024-10-29 20:34
60K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 21:07
7.3K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk
2024-10-25 21:07
10K
mediastreamer2-doc-5.3.100-r0.apk
2025-02-22 13:17
108K
mediastreamer2-dev-5.3.100-r0.apk
2025-02-22 13:17
110K
mediastreamer2-5.3.100-r0.apk
2025-02-22 13:17
314K
mediascanner2-0.115-r2.apk
2025-02-22 13:17
228K
mdp-doc-1.0.15-r1.apk
2024-10-25 21:07
3.7K
mdp-1.0.15-r1.apk
2024-10-25 21:07
15K
mdnsd-static-0.12-r1.apk
2024-10-25 21:07
15K
mdnsd-openrc-0.12-r1.apk
2024-10-25 21:07
2.1K
mdnsd-libs-0.12-r1.apk
2024-10-25 21:07
15K
mdnsd-doc-0.12-r1.apk
2024-10-25 21:07
14K
mdnsd-0.12-r1.apk
2024-10-25 21:07
21K
mdcat-zsh-completion-2.7.1-r0.apk
2024-12-14 19:04
2.4K
mdcat-fish-completion-2.7.1-r0.apk
2024-12-14 19:04
2.1K
mdcat-doc-2.7.1-r0.apk
2024-12-14 19:04
6.0K
mdcat-bash-completion-2.7.1-r0.apk
2024-12-14 19:04
2.2K
mdcat-2.7.1-r0.apk
2024-12-14 19:04
2.8M
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 21:07
819K
mdbook-mermaid-0.14.0-r0.apk
2024-12-23 18:24
1.5M
mdbook-katex-0.9.2-r0.apk
2025-01-26 02:34
1.0M
mdbook-alerts-0.7.0-r0.apk
2025-01-11 13:08
668K
mdbook-admonish-1.18.0-r0.apk
2024-12-27 20:25
930K
md5ha1-0_git20171202-r1.apk
2024-10-25 21:07
8.9K
mcqd-dev-1.0.0-r1.apk
2024-10-25 21:07
4.0K
mcqd-1.0.0-r1.apk
2024-10-25 21:07
11K
mcman-doc-0.4.5-r0.apk
2024-10-25 21:07
14K
mcman-0.4.5-r0.apk
2024-10-25 21:07
2.5M
mcjoin-doc-2.11-r0.apk
2024-10-25 21:07
54K
mcjoin-2.11-r0.apk
2024-10-25 21:07
21K
mbrola-3.3-r0.apk
2024-10-25 21:07
18K
maxima-emacs-5.47.0-r8.apk
2024-10-25 21:07
111K
maxima-doc-extra-5.47.0-r8.apk
2024-10-25 21:07
10M
maxima-doc-5.47.0-r8.apk
2024-10-25 21:07
761K
maxima-bash-completion-5.47.0-r8.apk
2024-10-25 21:07
2.4K
maxima-5.47.0-r8.apk
2024-10-25 21:07
21M
mautrix-twitter-openrc-0.2.1-r2.apk
2025-02-10 04:38
1.9K
mautrix-twitter-doc-0.2.1-r2.apk
2025-02-10 04:38
13K
mautrix-twitter-0.2.1-r2.apk
2025-02-10 04:38
6.2M
mautrix-discord-openrc-0.7.2-r2.apk
2025-02-10 04:38
1.9K
mautrix-discord-doc-0.7.2-r2.apk
2025-02-10 04:38
13K
mautrix-discord-0.7.2-r2.apk
2025-02-10 04:38
6.2M
mautrix-bluesky-openrc-0.1.0-r2.apk
2025-02-10 04:38
1.9K
mautrix-bluesky-doc-0.1.0-r2.apk
2025-02-10 04:38
13K
mautrix-bluesky-0.1.0-r2.apk
2025-02-10 04:38
8.2M
materia-light-kde-yakuake-20220823-r0.apk
2024-10-25 21:07
21K
materia-light-kde-plasma-20220823-r0.apk
2024-10-25 21:07
20K
materia-light-kde-kvantum-20220823-r0.apk
2024-10-25 21:07
30K
materia-light-compact-kde-kvantum-20220823-r0.apk
2024-10-25 21:07
1.5K
materia-kde-plasma-20220823-r0.apk
2024-10-25 21:07
1.7M
materia-kde-kvantum-20220823-r0.apk
2024-10-25 21:07
30K
materia-kde-konsole-20220823-r0.apk
2024-10-25 21:07
1.8K
materia-kde-20220823-r0.apk
2024-10-25 21:07
19K
materia-gtk3-20210322-r1.apk
2024-10-25 21:07
65K
materia-gtk2-20210322-r1.apk
2024-10-25 21:07
38K
materia-gtk-theme-20210322-r1.apk
2024-10-25 21:07
151K
materia-gnome-shell-20210322-r1.apk
2024-10-25 21:07
32K
materia-dark-kde-yakuake-20220823-r0.apk
2024-10-25 21:07
22K
materia-dark-kde-plasma-20220823-r0.apk
2024-10-25 21:07
503K
materia-dark-kde-kvantum-20220823-r0.apk
2024-10-25 21:07
30K
materia-dark-kde-konsole-20220823-r0.apk
2024-10-25 21:07
1.8K
materia-dark-gtk3-20210322-r1.apk
2024-10-25 21:07
41K
materia-dark-gtk2-20210322-r1.apk
2024-10-25 21:07
38K
materia-dark-gnome-shell-20210322-r1.apk
2024-10-25 21:07
32K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2024-10-25 21:07
1.5K
materia-dark-compact-gtk3-20210322-r1.apk
2024-10-25 21:07
41K
materia-dark-compact-gtk2-20210322-r1.apk
2024-10-25 21:07
38K
materia-dark-compact-gnome-shell-20210322-r1.apk
2024-10-25 21:07
32K
materia-dark-compact-chromium-20210322-r1.apk
2024-10-25 21:07
5.7K
materia-dark-compact-20210322-r1.apk
2024-10-25 21:07
1.7K
materia-dark-chromium-20210322-r1.apk
2024-10-25 21:07
5.7K
materia-dark-20210322-r1.apk
2024-10-25 21:07
1.7K
materia-compact-gtk3-20210322-r1.apk
2024-10-25 21:07
65K
materia-compact-gtk2-20210322-r1.apk
2024-10-25 21:07
38K
materia-compact-gnome-shell-20210322-r1.apk
2024-10-25 21:07
32K
materia-compact-chromium-20210322-r1.apk
2024-10-25 21:07
5.7K
materia-compact-20210322-r1.apk
2024-10-25 21:07
1.7K
materia-chromium-20210322-r1.apk
2024-10-25 21:07
5.7K
materia-20210322-r1.apk
2024-10-25 21:07
1.7K
mat2-pyc-0.13.4-r3.apk
2024-10-25 21:07
54K
mat2-doc-0.13.4-r3.apk
2024-10-25 21:07
7.7K
mat2-0.13.4-r3.apk
2024-10-25 21:07
35K
masky-pyc-0.2.0-r1.apk
2024-10-25 21:07
64K
masky-0.2.0-r1.apk
2024-10-25 21:07
278K
marxan-4.0.7-r1.apk
2024-10-25 21:07
476K
mapserver-dev-8.4.0-r0.apk
2025-01-25 08:04
540K
mapserver-8.4.0-r0.apk
2025-01-25 08:04
1.2M
mapnik-doc-3.1.0-r29.apk
2024-11-08 01:05
135K
mapnik-dev-3.1.0-r29.apk
2024-11-08 01:05
454K
mapnik-3.1.0-r29.apk
2024-11-08 01:05
10M
manifest-tool-2.1.9-r0.apk
2025-02-22 13:17
3.6M
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 21:07
14K
mangr0ve-0.1.2-r0.apk
2024-10-25 21:07
2.8K
mangal-zsh-completion-4.0.6-r15.apk
2025-02-10 04:38
3.9K
mangal-fish-completion-4.0.6-r15.apk
2025-02-10 04:38
3.9K
mangal-bash-completion-4.0.6-r15.apk
2025-02-10 04:38
4.9K
mangal-4.0.6-r15.apk
2025-02-10 04:38
9.8M
mame-tools-0.251-r0.apk
2024-10-25 21:07
2.2M
mame-plugins-0.251-r0.apk
2024-10-25 21:07
166K
mame-mess-0.251-r0.apk
2024-10-25 21:07
44M
mame-lang-0.251-r0.apk
2024-10-25 21:07
1.4M
mame-doc-0.251-r0.apk
2024-10-25 21:07
24K
mame-data-0.251-r0.apk
2024-10-25 21:07
19M
mame-common-0.251-r0.apk
2024-10-25 21:07
2.7K
mame-arcade-0.251-r0.apk
2024-10-25 21:07
57M
mame-0.251-r0.apk
2024-10-25 21:07
83M
makeself-2.5.0-r0.apk
2024-10-25 21:07
13K
makedumpfile-openrc-1.7.6-r0.apk
2024-10-28 05:12
2.9K
makedumpfile-doc-1.7.6-r0.apk
2024-10-28 05:12
24K
makedumpfile-1.7.6-r0.apk
2024-10-28 05:12
161K
makeclapman-doc-2.4.4-r2.apk
2025-02-10 04:38
4.1K
makeclapman-2.4.4-r2.apk
2025-02-10 04:38
1.2M
mailutils-servers-3.18-r0.apk
2025-01-09 06:56
69K
mailutils-mh-3.18-r0.apk
2025-01-09 06:56
1.2M
mailutils-libs-3.18-r0.apk
2025-01-09 06:56
445K
mailutils-doc-3.18-r0.apk
2025-01-09 06:56
160K
mailutils-dev-3.18-r0.apk
2025-01-09 06:56
2.8M
mailutils-3.18-r0.apk
2025-01-09 06:56
186K
mailtutan-0.3.0-r0.apk
2024-10-25 21:07
1.1M
mailsec-check-0_git20210729-r23.apk
2025-02-10 04:38
2.4M
maildir2rss-0.0.7-r2.apk
2025-02-10 04:38
3.2M
mage-1.13.0-r20.apk
2025-02-10 04:38
1.5M
maddy-vim-0.7.1-r7.apk
2025-02-10 04:38
3.5K
maddy-openrc-0.7.1-r7.apk
2025-02-10 04:38
1.9K
maddy-doc-0.7.1-r7.apk
2025-02-10 04:38
2.3K
maddy-0.7.1-r7.apk
2025-02-10 04:38
9.2M
ma1sd-openrc-2.5.0-r3.apk
2024-10-25 21:07
2.0K
ma1sd-2.5.0-r3.apk
2024-10-25 21:07
38M
m2r2-pyc-0.3.3-r3.apk
2024-10-25 21:07
16K
m2r2-0.3.3-r3.apk
2024-10-25 21:07
13K
lzfse-dev-1.0-r0.apk
2024-10-25 21:07
3.4K
lzfse-1.0-r0.apk
2024-10-25 21:07
19K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 11:09
38K
lynis-doc-3.1.1-r0.apk
2024-10-25 21:07
49K
lynis-bash-completion-3.1.1-r0.apk
2024-10-25 21:07
3.0K
lynis-3.1.1-r0.apk
2024-10-25 21:07
271K
lychee-doc-0.15.1-r0.apk
2024-10-25 21:07
11K
lychee-0.15.1-r0.apk
2024-10-25 21:07
4.7M
lxqt-wayland-session-doc-0.1.0-r0.apk
2025-01-11 11:32
26K
lxqt-wayland-session-0.1.0-r0.apk
2025-01-11 11:32
332K
lxd-feature-scripts-5.20-r8.apk
2025-02-10 04:38
2.1K
lxd-feature-openrc-5.20-r8.apk
2025-02-10 04:38
2.4K
lxd-feature-doc-5.20-r8.apk
2025-02-10 04:38
1.6K
lxd-feature-bash-completion-5.20-r8.apk
2025-02-10 04:38
5.1K
lxd-feature-5.20-r8.apk
2025-02-10 04:38
66M
lxappearance-lang-0.6.3-r3.apk
2024-10-25 21:07
80K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 21:07
2.6K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 21:07
3.2K
lxappearance-0.6.3-r3.apk
2024-10-25 21:07
28K
lutgen-zsh-completion-0.11.2-r0.apk
2024-10-25 21:07
1.6K
lutgen-fish-completion-0.11.2-r0.apk
2024-10-25 21:07
1.7K
lutgen-doc-0.11.2-r0.apk
2024-10-25 21:07
4.2K
lutgen-bash-completion-0.11.2-r0.apk
2024-10-25 21:07
1.7K
lutgen-0.11.2-r0.apk
2024-10-25 21:07
1.4M
lumins-0.4.0-r2.apk
2024-10-25 21:07
589K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 21:07
175K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 21:07
86K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 21:07
149K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 21:07
113K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 21:07
185K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 21:07
360K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 21:07
145K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 21:07
12K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 21:07
764K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 21:07
8.8M
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 21:07
152K
lumina-desktop-1.6.2-r0.apk
2024-10-25 21:07
1.2K
luksmeta-doc-9-r0.apk
2024-10-25 21:07
5.5K
luksmeta-dev-9-r0.apk
2024-10-25 21:07
3.1K
luksmeta-9-r0.apk
2024-10-25 21:07
12K
luapak-0.1.0_beta5-r0.apk
2024-10-25 21:07
35K
luacov-html-1.0.0-r1.apk
2024-10-25 21:07
1.2K
luacov-0.15.0-r0.apk
2024-10-25 21:07
1.5K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 21:07
8.8K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 21:07
23K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 21:07
15K
lua5.4-lanes-3.16.0-r1.apk
2024-10-25 21:07
56K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 21:07
4.1K
lua5.3-psl-0.3-r0.apk
2024-10-25 21:07
5.2K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 21:07
8.7K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 21:07
413K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 21:07
23K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 21:07
15K
lua5.3-lanes-3.16.0-r1.apk
2024-10-25 21:07
56K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 21:07
4.0K
lua5.3-apk3-3.0.0_rc4-r3.apk
2025-02-22 13:17
5.3K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 21:07
21K
lua5.2-psl-0.3-r0.apk
2024-10-25 21:07
5.1K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 21:07
8.7K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 21:07
413K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 21:07
23K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 21:07
15K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 21:07
8.6K
lua5.2-lanes-3.16.0-r1.apk
2024-10-25 21:07
55K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 21:07
4.0K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 21:07
21K
lua5.1-psl-0.3-r0.apk
2024-10-25 21:07
5.2K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 21:07
62K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 21:07
413K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 21:07
23K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 21:07
15K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 21:07
8.6K
lua5.1-libguestfs-1.52.0-r1.apk
2024-10-25 21:07
75K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 21:07
21K
lua5.1-lanes-3.16.0-r1.apk
2024-10-25 21:07
55K
lua-xml-1.1.3-r2.apk
2024-10-25 21:07
1.4K
lua-resty-upload-0.11-r0.apk
2024-10-25 21:07
3.6K
lua-resty-redis-0.29-r0.apk
2024-10-25 21:07
5.3K
lua-psl-0.3-r0.apk
2024-10-25 21:07
1.1K
lua-lut-1.2.1-r0.apk
2024-10-25 21:07
89K
lua-lupa-1.0-r0.apk
2024-10-25 21:07
20K
lua-luastatic-0.0.12-r1.apk
2024-10-25 21:07
1.5K
lua-linenoise-0.9-r1.apk
2024-10-25 21:07
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 21:07
19K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 21:07
1.2K
lua-lcurses-9.0.0-r0.apk
2024-10-25 21:07
1.2K
lua-lanes-3.16.0-r1.apk
2024-10-25 21:07
1.4K
lua-inet-0.2.0-r1.apk
2024-10-25 21:07
9.1K
lua-fn-0.1.0-r0.apk
2024-10-25 21:07
3.4K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 21:07
1.2K
lsmash-dev-2.14.5-r2.apk
2024-10-25 21:07
326K
lsmash-2.14.5-r2.apk
2024-10-25 21:07
251K
lsix-1.8.2-r0.apk
2024-10-25 21:07
6.5K
lshell-pyc-0.9.18-r11.apk
2024-10-25 21:07
35K
lshell-doc-0.9.18-r11.apk
2024-10-25 21:07
25K
lshell-0.9.18-r11.apk
2024-10-25 21:07
36K
lsdvd-doc-0.17-r0.apk
2024-10-25 21:07
2.5K
lsdvd-0.17-r0.apk
2024-10-25 21:07
12K
lrcalc-libs-2.1-r1.apk
2024-10-25 21:07
21K
lrcalc-dev-2.1-r1.apk
2024-10-25 21:07
11K
lrcalc-2.1-r1.apk
2024-10-25 21:07
10K
lowjs-doc-1.6.2-r2.apk
2024-10-25 21:07
3.0K
lowjs-1.6.2-r2.apk
2024-10-25 21:07
1.3M
lout-doc-3.42.2-r0.apk
2024-10-25 21:07
453K
lout-3.42.2-r0.apk
2024-10-25 21:07
1.4M
lottieconverter-doc-0.2_git20231219-r0.apk
2024-10-25 21:07
2.4K
lottieconverter-0.2_git20231219-r0.apk
2024-10-25 21:07
10K
lotide-openrc-0.15.0-r0.apk
2024-10-25 21:07
3.1K
lotide-0.15.0-r0.apk
2024-10-25 21:07
3.2M
lomiri-weather-app-lang-5.13.5-r1.apk
2024-10-25 21:07
288K
lomiri-weather-app-5.13.5-r1.apk
2024-10-25 21:07
235K
lomiri-url-dispatcher-lang-0.1.3-r3.apk
2025-02-22 13:17
18K
lomiri-url-dispatcher-dev-0.1.3-r3.apk
2025-02-22 13:17
3.3K
lomiri-url-dispatcher-0.1.3-r3.apk
2025-02-22 13:17
33K
lomiri-ui-toolkit-lang-1.3.5110-r0.apk
2025-01-10 11:07
96K
lomiri-ui-toolkit-dev-1.3.5110-r0.apk
2025-01-10 11:07
168K
lomiri-ui-toolkit-1.3.5110-r0.apk
2025-01-10 11:07
1.2M
lomiri-ui-extras-lang-0.6.3-r1.apk
2024-10-25 21:07
55K
lomiri-ui-extras-0.6.3-r1.apk
2024-10-25 21:07
233K
lomiri-trust-store-lang-2.0.2-r7.apk
2025-02-22 13:17
28K
lomiri-trust-store-dev-2.0.2-r7.apk
2025-02-22 13:17
9.1K
lomiri-trust-store-2.0.2-r7.apk
2025-02-22 13:17
856K
lomiri-thumbnailer-doc-3.0.4-r2.apk
2025-02-22 13:17
1.5K
lomiri-thumbnailer-dev-3.0.4-r2.apk
2025-02-22 13:17
5.0K
lomiri-thumbnailer-3.0.4-r2.apk
2025-02-22 13:17
193K
lomiri-terminal-app-lang-2.0.3-r0.apk
2024-11-12 18:18
62K
lomiri-terminal-app-doc-2.0.3-r0.apk
2024-11-12 18:18
2.6K
lomiri-terminal-app-2.0.3-r0.apk
2024-11-12 18:18
61K
lomiri-telephony-service-lang-0.6.0-r0.apk
2025-01-26 02:34
107K
lomiri-telephony-service-0.6.0-r0.apk
2025-01-26 02:34
891K
lomiri-system-settings-lang-1.3.0-r0.apk
2025-01-10 11:07
826K
lomiri-system-settings-1.3.0-r0.apk
2025-01-10 11:07
1.0M
lomiri-sounds-22.02-r0.apk
2024-10-25 21:07
18M
lomiri-settings-components-lang-1.1.2-r0.apk
2025-01-10 11:07
98K
lomiri-settings-components-1.1.2-r0.apk
2025-01-10 11:07
216K
lomiri-schemas-0.1.7-r0.apk
2025-01-26 02:34
11K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 11:07
84K
lomiri-location-service-lang-3.3.0-r1.apk
2025-02-22 13:17
26K
lomiri-location-service-doc-3.3.0-r1.apk
2025-02-22 13:17
2.8K
lomiri-location-service-dev-3.3.0-r1.apk
2025-02-22 13:17
30K
lomiri-location-service-3.3.0-r1.apk
2025-02-22 13:17
1.9M
lomiri-libusermetrics-lang-1.3.3-r1.apk
2025-02-22 13:17
43K
lomiri-libusermetrics-doc-1.3.3-r1.apk
2025-02-22 13:17
228K
lomiri-libusermetrics-dev-1.3.3-r1.apk
2025-02-22 13:17
7.8K
lomiri-libusermetrics-1.3.3-r1.apk
2025-02-22 13:17
141K
lomiri-lang-0.3.0-r0.apk
2024-10-25 21:07
253K
lomiri-indicator-network-lang-1.1.0-r1.apk
2025-02-22 13:17
190K
lomiri-indicator-network-doc-1.1.0-r1.apk
2025-02-22 13:17
2.0K
lomiri-indicator-network-dev-1.1.0-r1.apk
2025-02-22 13:17
9.5K
lomiri-indicator-network-1.1.0-r1.apk
2025-02-22 13:17
540K
lomiri-indicator-location-lang-0_git20231227-r1.apk
2025-02-22 13:17
29K
lomiri-indicator-location-0_git20231227-r1.apk
2025-02-22 13:17
26K
lomiri-history-service-dev-0.6-r2.apk
2024-12-07 13:39
11K
lomiri-history-service-0.6-r2.apk
2024-12-07 13:39
311K
lomiri-gallery-app-lang-3.0.2-r0.apk
2024-10-25 21:07
109K
lomiri-gallery-app-3.0.2-r0.apk
2024-10-25 21:07
3.7M
lomiri-filemanager-app-lang-1.0.4-r0.apk
2024-10-25 21:07
175K
lomiri-filemanager-app-1.0.4-r0.apk
2024-10-25 21:07
308K
lomiri-download-manager-lang-0.1.3-r5.apk
2025-02-22 13:17
27K
lomiri-download-manager-doc-0.1.3-r5.apk
2025-02-22 13:17
3.4M
lomiri-download-manager-dev-0.1.3-r5.apk
2025-02-22 13:17
17K
lomiri-download-manager-0.1.3-r5.apk
2025-02-22 13:17
506K
lomiri-docviewer-app-lang-3.0.4-r1.apk
2025-01-29 20:44
116K
lomiri-docviewer-app-doc-3.0.4-r1.apk
2025-01-29 20:44
2.0K
lomiri-docviewer-app-3.0.4-r1.apk
2025-01-29 20:44
206K
lomiri-content-hub-lang-2.0.0-r1.apk
2025-02-22 13:17
39K
lomiri-content-hub-doc-2.0.0-r1.apk
2025-02-22 13:17
1.4M
lomiri-content-hub-dev-2.0.0-r1.apk
2025-02-22 13:17
11K
lomiri-content-hub-2.0.0-r1.apk
2025-02-22 13:17
253K
lomiri-clock-app-lang-4.1.0-r0.apk
2024-12-02 12:45
449K
lomiri-clock-app-4.1.0-r0.apk
2024-12-02 12:45
219K
lomiri-calculator-app-lang-4.0.2-r0.apk
2024-10-25 21:07
37K
lomiri-calculator-app-4.0.2-r0.apk
2024-10-25 21:07
375K
lomiri-app-launch-dev-0.1.11-r1.apk
2025-02-22 13:17
20K
lomiri-app-launch-0.1.11-r1.apk
2025-02-22 13:17
287K
lomiri-api-dev-0.2.2-r1.apk
2025-02-22 13:17
32K
lomiri-api-0.2.2-r1.apk
2025-02-22 13:17
30K
lomiri-action-api-dev-1.1.3-r1.apk
2024-10-25 21:07
5.4K
lomiri-action-api-1.1.3-r1.apk
2024-10-25 21:07
70K
lomiri-0.3.0-r0.apk
2024-10-25 21:07
3.8M
lolcat-1.4-r0.apk
2024-10-25 21:07
9.3K
lol-html-dev-1.1.1-r1.apk
2024-10-25 21:07
6.5K
lol-html-1.1.1-r1.apk
2024-10-25 21:07
397K
logwatch-doc-7.10-r1.apk
2024-10-25 21:07
38K
logwatch-7.10-r1.apk
2024-10-25 21:07
483K
logtop-libs-0.7-r0.apk
2024-10-25 21:07
12K
logtop-doc-0.7-r0.apk
2024-10-25 21:07
2.8K
logtop-0.7-r0.apk
2024-10-25 21:07
11K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 21:07
5.2K
logc-libs-0.1.0-r0.apk
2024-10-25 21:07
1.4K
logc-libevent-0.1.0-r0.apk
2024-10-25 21:07
3.2K
logc-dev-0.5.0-r0.apk
2024-10-25 21:07
8.9K
logc-czmq-0.1.0-r0.apk
2024-10-25 21:07
3.8K
logc-config-0.5.0-r0.apk
2024-10-25 21:07
4.7K
logc-argp-0.5.0-r0.apk
2024-10-25 21:07
14K
logc-0.5.0-r0.apk
2024-10-25 21:07
6.8K
log4cxx-dev-1.1.0-r3.apk
2025-02-22 13:17
135K
log4cxx-1.1.0-r3.apk
2025-02-22 13:17
449K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 21:07
39K
log4cpp-1.1.4-r1.apk
2024-10-25 21:07
65K
lockrun-1.1.3-r1.apk
2024-10-25 21:07
5.1K
llmnrd-openrc-0.7-r1.apk
2024-10-25 21:07
1.9K
llmnrd-doc-0.7-r1.apk
2024-10-25 21:07
3.0K
llmnrd-0.7-r1.apk
2024-10-25 21:07
16K
lkrg-doc-0.9.6-r1.apk
2025-01-09 12:44
22K
lkrg-0.9.6-r1.apk
2025-01-09 12:44
105K
lizardfs-metalogger-openrc-3.13.0-r15.apk
2025-02-22 13:17
1.6K
lizardfs-metalogger-3.13.0-r15.apk
2025-02-22 13:17
144K
lizardfs-master-openrc-3.13.0-r15.apk
2025-02-22 13:17
1.6K
lizardfs-master-3.13.0-r15.apk
2025-02-22 13:17
838K
lizardfs-doc-3.13.0-r15.apk
2025-02-22 13:17
11K
lizardfs-client-3.13.0-r15.apk
2025-02-22 13:17
1.1M
lizardfs-chunkserver-openrc-3.13.0-r15.apk
2025-02-22 13:17
1.6K
lizardfs-chunkserver-3.13.0-r15.apk
2025-02-22 13:17
327K
lizardfs-cgiserv-openrc-3.13.0-r15.apk
2025-02-22 13:17
1.9K
lizardfs-cgiserv-3.13.0-r15.apk
2025-02-22 13:17
7.3K
lizardfs-cgi-3.13.0-r15.apk
2025-02-22 13:17
31K
lizardfs-bash-completion-3.13.0-r15.apk
2025-02-22 13:17
1.8K
lizardfs-3.13.0-r15.apk
2025-02-22 13:17
129K
litterbox-doc-1.9-r1.apk
2024-10-25 21:07
7.2K
litterbox-1.9-r1.apk
2024-10-25 21:07
27K
litehtml-static-0.9-r2.apk
2025-02-22 13:17
456K
litehtml-dev-0.9-r2.apk
2025-02-22 13:17
42K
litehtml-0.9-r2.apk
2025-02-22 13:17
260K
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk
2024-10-25 21:07
2.1K
listenbrainz-mpd-fish-completion-2.3.8-r0.apk
2024-10-25 21:07
1.8K
listenbrainz-mpd-doc-2.3.8-r0.apk
2024-10-25 21:07
14K
listenbrainz-mpd-bash-completion-2.3.8-r0.apk
2024-10-25 21:07
2.1K
listenbrainz-mpd-2.3.8-r0.apk
2024-10-25 21:07
1.1M
liquibase-doc-4.9.1-r0.apk
2024-10-25 21:07
57K
liquibase-4.9.1-r0.apk
2024-10-25 21:07
32M
linuxptp-tz2alt-4.4-r0.apk
2024-11-20 03:43
18K
linuxptp-ts2phc-4.4-r0.apk
2024-11-20 03:43
35K
linuxptp-timemaster-4.4-r0.apk
2024-11-20 03:43
14K
linuxptp-ptp4l-4.4-r0.apk
2024-11-20 03:43
73K
linuxptp-pmc-4.4-r0.apk
2024-11-20 03:43
33K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-20 03:43
10K
linuxptp-phc2sys-4.4-r0.apk
2024-11-20 03:43
35K
linuxptp-nsm-4.4-r0.apk
2024-11-20 03:43
31K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-20 03:43
4.2K
linuxptp-doc-4.4-r0.apk
2024-11-20 03:43
38K
linuxptp-4.4-r0.apk
2024-11-20 03:43
1.2K
linux-timemachine-1.3.2-r0.apk
2024-10-25 21:07
5.1K
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 21:07
197K
linphone-libs-5.3.38-r0.apk
2024-10-25 21:07
2.4M
linphone-dev-5.3.38-r0.apk
2024-10-25 21:07
250K
linphone-5.3.38-r0.apk
2024-10-25 21:07
9.0M
linkquisition-1.6.1-r2.apk
2025-02-10 04:38
12M
limnoria-pyc-20240828-r0.apk
2024-10-25 21:07
1.2M
limnoria-doc-20240828-r0.apk
2024-10-25 21:07
8.4K
limnoria-20240828-r0.apk
2024-10-25 21:07
1.1M
lidarr-openrc-2.9.6.4552-r0.apk
2025-02-04 18:20
2.0K
lidarr-2.9.6.4552-r0.apk
2025-02-04 18:20
20M
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 21:07
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 21:07
18K
libzvbi-static-0.2.43-r0.apk
2024-12-07 21:32
256K
libzvbi-dev-0.2.43-r0.apk
2024-12-07 21:32
14K
libzvbi-0.2.43-r0.apk
2024-12-07 21:32
203K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 22:55
38K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 22:55
154K
libzn_poly-static-0.9.2-r2.apk
2024-10-25 21:07
42K
libzn_poly-dev-0.9.2-r2.apk
2024-10-25 21:07
8.1K
libzn_poly-0.9.2-r2.apk
2024-10-25 21:07
40K
libxo-doc-1.7.5-r0.apk
2025-01-12 23:45
63K
libxo-dev-1.7.5-r0.apk
2025-01-12 23:45
67K
libxo-1.7.5-r0.apk
2025-01-12 23:45
170K
libxml++-dev-5.4.0-r0.apk
2025-02-13 08:45
29K
libxml++-5.4.0-r0.apk
2025-02-13 08:45
58K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 21:07
1.7K
libwmiclient-1.3.16-r5.apk
2024-10-25 21:07
1.5K
libwhich-1.2.0-r0.apk
2024-10-25 21:07
4.5K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 21:07
28K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 21:07
9.0K
libwbxml-0.11.8-r0.apk
2024-10-25 21:07
58K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 21:07
5.7K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 21:07
9.9K
libvoikko-4.3.2-r1.apk
2024-10-25 21:07
108K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 21:07
181K
libvmaf-3.0.0-r0.apk
2024-10-25 21:07
305K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 21:07
101K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 21:07
2.9K
libvisio2svg-0.5.5-r3.apk
2024-10-25 21:07
13K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 21:07
47K
libupstart-2.0.3-r5.apk
2024-10-25 21:07
63K
libuninameslist-doc-20230916-r0.apk
2024-10-25 21:07
2.0K
libuninameslist-dev-20230916-r0.apk
2024-10-25 21:07
3.4K
libuninameslist-20230916-r0.apk
2024-10-25 21:07
425K
libuecc-dev-7-r3.apk
2024-10-25 21:07
4.7K
libuecc-7-r3.apk
2024-10-25 21:07
8.4K
libucl-doc-0.9.0-r0.apk
2024-10-25 21:07
8.8K
libucl-dev-0.9.0-r0.apk
2024-10-25 21:07
71K
libucl-0.9.0-r0.apk
2024-10-25 21:07
46K
libtsm-dev-4.0.2-r1.apk
2024-10-25 21:07
9.5K
libtsm-4.0.2-r1.apk
2024-10-25 21:07
22K
libtommath-dev-1.2.1-r0.apk
2024-10-25 21:07
56K
libtommath-1.2.1-r0.apk
2024-10-25 21:07
32K
libtinycbor-0.6.0-r1.apk
2024-10-25 21:07
17K
libtins-doc-4.5-r1.apk
2024-10-25 21:07
2.3K
libtins-dev-4.5-r1.apk
2024-10-25 21:07
141K
libtins-4.5-r1.apk
2024-10-25 21:07
272K
libsymmetrica-static-3.0.1-r2.apk
2024-10-25 21:07
4.2M
libsymmetrica-dev-3.0.1-r2.apk
2024-10-25 21:07
32K
libsymmetrica-3.0.1-r2.apk
2024-10-25 21:07
3.8M
libstirshaken-tools-0_git20240208-r2.apk
2024-10-25 21:07
145K
libstirshaken-dev-0_git20240208-r2.apk
2024-10-25 21:07
78K
libstirshaken-0_git20240208-r2.apk
2024-10-25 21:07
49K
libspatialindex-dev-0_git20210205-r1.apk
2024-10-25 21:07
22K
libspatialindex-0_git20210205-r1.apk
2024-10-25 21:07
288K
libsirocco-dev-2.1.0-r2.apk
2024-10-25 21:07
1.9K
libsirocco-2.1.0-r2.apk
2024-10-25 21:07
54K
libsimplebluez-0.6.1-r2.apk
2024-12-14 20:23
111K
libsimpleble-c-0.6.1-r2.apk
2024-12-14 20:23
13K
libsimpleble-0.6.1-r2.apk
2024-12-14 20:23
156K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 21:07
35K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 21:07
332K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 21:07
31K
libsigrok-0.5.2-r3.apk
2024-10-25 21:07
438K
libserialport-dev-0.1.1-r1.apk
2024-10-25 21:07
37K
libserialport-0.1.1-r1.apk
2024-10-25 21:07
20K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 20:23
1.3M
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 20:23
335K
libsemigroups-2.7.3-r1.apk
2024-12-14 20:23
558K
libsemanage-doc-3.6-r1.apk
2024-10-25 21:07
23K
libsemanage-dev-3.6-r1.apk
2024-10-25 21:07
129K
libsemanage-3.6-r1.apk
2024-10-25 21:07
83K
libsds-dev-2.0.0-r1.apk
2024-10-25 21:07
3.8K
libsds-2.0.0-r1.apk
2024-10-25 21:07
8.4K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 21:07
122K
libsbsms-2.3.0-r0.apk
2024-10-25 21:07
91K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 21:07
107K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 21:07
339K
libretro-theodore-3.1-r0.apk
2024-10-25 21:07
866K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 21:07
635K
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 21:07
16M
libretro-ppsspp-0_git20210516-r14.apk
2024-10-25 21:07
2.2M
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 21:07
79K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 21:07
408K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 21:07
805K
libretro-opera-0_git20211214-r0.apk
2024-10-25 21:07
149K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 21:07
420K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 21:07
249K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 21:07
361K
libretro-mu-0_git20220317-r0.apk
2024-10-25 21:07
131K
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 21:07
6.4M
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 21:07
2.5M
libretro-gw-0_git20220410-r0.apk
2024-10-25 21:07
150K
libretro-gong-0_git20220319-r0.apk
2024-10-25 21:07
7.8K
libretro-gme-0_git20240628-r0.apk
2024-10-25 21:07
160K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 21:07
796K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 21:07
771K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 21:07
140K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 21:07
30K
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 21:07
9.1M
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 21:07
109K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 21:07
516K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 21:07
250K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 21:07
286K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 21:07
197K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 21:07
561K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 21:07
318K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 21:07
1.3M
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 21:07
261K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 21:07
309K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 21:07
250K
librespot-openrc-0.6.0-r0.apk
2024-11-02 01:48
1.8K
librespot-0.6.0-r0.apk
2024-11-02 01:48
2.5M
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 21:07
45K
libre-dev-3.19.0-r0.apk
2025-02-12 15:06
402K
libre-3.19.0-r0.apk
2025-02-12 15:06
234K
libraqm-doc-0.10.2-r0.apk
2024-10-25 21:07
21K
libraqm-dev-0.10.2-r0.apk
2024-10-25 21:07
4.5K
libraqm-0.10.2-r0.apk
2024-10-25 21:07
8.9K
libqtdbustest-0.3.3-r1.apk
2025-02-22 13:17
28K
libqtdbusmock-0.9.1-r2.apk
2025-02-22 13:17
62K
libqofono-qt6-0.124-r0.apk
2025-01-10 16:33
356K
libqofono-qt5-0.124-r0.apk
2025-01-10 16:33
263K
libqofono-dev-0.124-r0.apk
2025-01-10 16:33
42K
libqofono-0.124-r0.apk
2025-01-10 16:33
1.2K
libqd-static-2.3.24-r0.apk
2024-10-25 21:07
230K
libqd-doc-2.3.24-r0.apk
2024-10-25 21:07
182K
libqd-dev-2.3.24-r0.apk
2024-10-25 21:07
58K
libqd-2.3.24-r0.apk
2024-10-25 21:07
142K
libppl_c-1.2-r1.apk
2024-10-25 21:07
901K
libppl-1.2-r1.apk
2024-10-25 21:07
422K
libopensmtpd-doc-0.7-r0.apk
2024-10-25 21:07
5.0K
libopensmtpd-dev-0.7-r0.apk
2024-10-25 21:07
3.1K
libopensmtpd-0.7-r0.apk
2024-10-25 21:07
16K
libopensles-standalone-dev-0_git20240221-r0.apk
2024-10-25 21:07
1.5K
libopensles-standalone-dbg-0_git20240221-r0.apk
2024-10-25 21:07
242K
libopensles-standalone-0_git20240221-r0.apk
2024-10-25 21:07
34K
liboggz-doc-1.1.1-r2.apk
2024-10-25 21:07
134K
liboggz-dev-1.1.1-r2.apk
2024-10-25 21:07
154K
liboggz-1.1.1-r2.apk
2024-10-25 21:07
111K
libofx-tools-0.10.9-r1.apk
2024-10-25 21:07
101K
libofx-dev-0.10.9-r1.apk
2024-10-25 21:07
20K
libofx-0.10.9-r1.apk
2024-10-25 21:07
59K
libnxml-dev-0.18.3-r0.apk
2024-10-25 21:07
26K
libnxml-0.18.3-r0.apk
2024-10-25 21:07
17K
libntl-static-11.5.1-r4.apk
2024-10-25 21:07
1.5M
libntl-doc-11.5.1-r4.apk
2024-10-25 21:07
374K
libntl-dev-11.5.1-r4.apk
2024-10-25 21:07
159K
libntl-11.5.1-r4.apk
2024-10-25 21:07
961K
libnih-doc-1.0.3-r7.apk
2024-10-25 21:07
2.7K
libnih-dev-1.0.3-r7.apk
2024-10-25 21:07
112K
libnih-1.0.3-r7.apk
2024-10-25 21:07
109K
libnfc-tools-1.8.0-r1.apk
2024-10-25 21:07
56K
libnfc-doc-1.8.0-r1.apk
2024-10-25 21:07
22K
libnfc-dev-1.8.0-r1.apk
2024-10-25 21:07
7.9K
libnfc-1.8.0-r1.apk
2024-10-25 21:07
51K
libnest2d-dev-0.4-r7.apk
2025-02-06 05:42
70K
libnest2d-0.4-r7.apk
2025-02-06 05:42
1.2K
libnbcompat-dev-1.0.2-r0.apk
2024-10-25 21:07
63K
libnbcompat-1.0.2-r0.apk
2024-10-25 21:07
31K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 21:07
1.1M
libmysofa-dev-1.3.2-r0.apk
2024-10-25 21:07
7.0K
libmysofa-1.3.2-r0.apk
2024-10-25 21:07
23K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 21:07
12K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 21:07
66K
libmustache-0.5.0-r1.apk
2024-10-25 21:07
76K
libmrss-dev-0.19.2-r1.apk
2024-10-25 21:07
28K
libmrss-0.19.2-r1.apk
2024-10-25 21:07
17K
libmpfi-static-1.5.4-r2.apk
2024-10-25 21:07
40K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 21:07
19K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 21:07
5.4K
libmpfi-1.5.4-r2.apk
2024-10-25 21:07
27K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 21:07
8.1K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 21:07
110K
libmhash-0.9.9.9-r3.apk
2024-10-25 21:07
97K
libmedc-python-pyc-4.1.1-r4.apk
2024-10-25 21:07
475K
libmedc-python-4.1.1-r4.apk
2024-10-25 21:07
1.7M
libmedc-doc-4.1.1-r4.apk
2024-10-25 21:07
41M
libmedc-dev-4.1.1-r4.apk
2024-10-25 21:07
38K
libmedc-4.1.1-r4.apk
2024-10-25 21:07
603K
libmdf-dev-1.0.29-r0.apk
2024-10-25 21:07
14K
libmdf-1.0.29-r0.apk
2024-10-25 21:07
31K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 21:07
8.9K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 21:07
93K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 21:07
2.3M
libmdbx-0.11.8-r0.apk
2024-10-25 21:07
640K
libm4rie-static-20200125-r5.apk
2025-01-15 19:06
222K
libm4rie-dev-20200125-r5.apk
2025-01-15 19:06
24K
libm4rie-20200125-r5.apk
2025-01-15 19:06
206K
libm4ri-static-20240729-r2.apk
2025-01-15 19:06
128K
libm4ri-dev-20240729-r2.apk
2025-01-15 19:06
32K
libm4ri-20240729-r2.apk
2025-01-15 19:06
120K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 21:07
20K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 21:07
145K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 21:07
3.7K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 21:07
4.2K
libjodycode-3.1.1-r0.apk
2024-10-25 21:07
7.0K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 21:07
76K
libiscsi-static-1.19.0-r2.apk
2024-10-25 21:07
65K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 21:07
9.3K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 21:07
20K
libiscsi-1.19.0-r2.apk
2024-10-25 21:07
52K
libirecovery-progs-1.2.1-r0.apk
2024-10-30 23:44
7.8K
libirecovery-dev-1.2.1-r0.apk
2024-10-30 23:44
4.1K
libirecovery-1.2.1-r0.apk
2024-10-30 23:44
23K
libiml-static-1.0.5-r3.apk
2024-10-25 21:07
57K
libiml-dev-1.0.5-r3.apk
2024-10-25 21:07
3.9K
libiml-1.0.5-r3.apk
2024-10-25 21:07
57K
libiio-tools-0.25-r2.apk
2024-10-25 21:07
66K
libiio-pyc-0.25-r2.apk
2024-10-25 21:07
21K
libiio-doc-0.25-r2.apk
2024-10-25 21:07
18K
libiio-dev-0.25-r2.apk
2024-10-25 21:07
13K
libiio-0.25-r2.apk
2024-10-25 21:07
43K
libigraph-dev-0.10.15-r0.apk
2024-11-20 03:43
90K
libigraph-0.10.15-r0.apk
2024-11-20 03:43
1.0M
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 23:44
2.2K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 23:44
3.3K
libideviceactivation-1.1.1-r5.apk
2024-10-30 23:44
15K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 21:07
13K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 21:07
5.2K
libhwpwm-0.4.4-r0.apk
2024-10-25 21:07
5.8K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 21:07
15K
libhomfly-1.02_p6-r1.apk
2024-10-25 21:07
13K
libguestfs-static-1.52.0-r1.apk
2024-10-25 21:07
454K
libguestfs-doc-1.52.0-r1.apk
2024-10-25 21:07
560K
libguestfs-dev-1.52.0-r1.apk
2024-10-25 21:07
29K
libguestfs-1.52.0-r1.apk
2024-10-25 21:07
322K
libgrapheme-doc-1-r0.apk
2024-10-25 21:07
8.0K
libgrapheme-dev-1-r0.apk
2024-10-25 21:07
9.4K
libgrapheme-1-r0.apk
2024-10-25 21:07
9.1K
libgivaro-static-4.2.0-r2.apk
2024-10-25 21:07
91K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 21:07
244K
libgivaro-4.2.0-r2.apk
2024-10-25 21:07
71K
libgdcm-3.0.24-r0.apk
2024-10-25 21:07
2.5M
libfyaml-doc-0.9-r0.apk
2024-10-25 21:07
7.4K
libfyaml-dev-0.9-r0.apk
2024-10-25 21:07
42K
libfyaml-0.9-r0.apk
2024-10-25 21:07
228K
libfort-dev-0.4.2-r0.apk
2024-10-25 21:07
17K
libfort-0.4.2-r0.apk
2024-10-25 21:07
26K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 21:07
89K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 21:07
75K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 21:07
53K
libfishsound-1.0.0-r1.apk
2024-10-25 21:07
7.2K
libettercap-0.8.3.1-r3.apk
2024-10-25 21:07
178K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 21:07
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 21:07
33K
libemf2svg-utils-1.1.0-r2.apk
2024-10-25 21:07
16K
libemf2svg-1.1.0-r2.apk
2024-10-25 21:07
131K
libecm-7.0.5-r1.apk
2024-10-25 21:07
197K
libecap-static-1.0.1-r1.apk
2024-10-25 21:07
18K
libecap-dev-1.0.1-r1.apk
2024-10-25 21:07
11K
libecap-1.0.1-r1.apk
2024-10-25 21:07
13K
libeantic-dev-2.0.2-r1.apk
2024-10-25 21:07
18K
libeantic-2.0.2-r1.apk
2024-10-25 21:07
64K
libdng-utils-0.2.1-r0.apk
2024-12-27 23:09
5.7K
libdng-doc-0.2.1-r0.apk
2024-12-27 23:09
4.2K
libdng-dev-0.2.1-r0.apk
2024-12-27 23:09
3.2K
libdng-0.2.1-r0.apk
2024-12-27 23:09
10K
libdcmtk-3.6.9-r0.apk
2025-01-25 08:04
6.1M
libcyaml-static-1.4.2-r0.apk
2024-10-25 21:07
20K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 21:07
8.6K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 21:07
13K
libcyaml-1.4.2-r0.apk
2024-10-25 21:07
17K
libctl-doc-4.5.1-r1.apk
2024-10-25 21:07
3.0K
libctl-dev-4.5.1-r1.apk
2024-10-25 21:07
39K
libctl-4.5.1-r1.apk
2024-10-25 21:07
84K
libcotp-dev-3.1.0-r0.apk
2024-10-25 21:07
2.5K
libcotp-3.1.0-r0.apk
2024-10-25 21:07
7.5K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 21:07
11K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 21:07
8.1K
libcorkipset-1.1.1-r4.apk
2024-10-25 21:07
10K
libcork-tools-0.15.0-r7.apk
2024-10-25 21:07
4.4K
libcork-dev-0.15.0-r7.apk
2024-10-25 21:07
30K
libcork-0.15.0-r7.apk
2024-10-25 21:07
27K
libcli-1.10.7-r0.apk
2024-10-25 21:07
24K
libcec-rpi-dev-6.0.2-r4.apk
2024-10-25 21:07
25K
libcec-rpi-6.0.2-r4.apk
2024-10-25 21:07
159K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 21:07
39K
libbsoncxx-3.8.0-r0.apk
2024-10-25 21:07
40K
libbraiding-dev-1.3.1-r0.apk
2024-11-20 03:43
15K
libbraiding-1.3.1-r0.apk
2024-11-20 03:43
74K
libblastrampoline-dev-5.2.0-r0.apk
2024-10-25 21:07
94K
libblastrampoline-5.2.0-r0.apk
2024-10-25 21:07
282K
libbamf-doc-0.5.6-r1.apk
2024-10-25 21:07
31K
libbamf-dev-0.5.6-r1.apk
2024-10-25 21:07
6.4K
libbamf-0.5.6-r1.apk
2024-10-25 21:07
126K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 21:07
8.0K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 21:07
5.3K
libb64-2.0.0.1-r0.apk
2024-10-25 21:07
4.3K
libaudec-tools-0.3.4-r3.apk
2024-10-25 21:07
26K
libaudec-static-0.3.4-r3.apk
2024-10-25 21:07
28K
libaudec-dev-0.3.4-r3.apk
2024-10-25 21:07
4.3K
libaudec-0.3.4-r3.apk
2024-10-25 21:07
26K
libarb-static-2.23.0-r2.apk
2024-10-25 21:07
1.6M
libarb-dev-2.23.0-r2.apk
2024-10-25 21:07
54K
libarb-2.23.0-r2.apk
2024-10-25 21:07
1.3M
libapk3-3.0.0_rc4-r3.apk
2025-02-22 13:17
107K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 13:29
818K
libantlr4-4.13.2-r0.apk
2024-12-12 13:29
368K
libantlr3c-dev-3.4-r3.apk
2024-10-25 21:07
58K
libantlr3c-3.4-r3.apk
2024-10-25 21:07
35K
libantic-dev-0.2.5-r0.apk
2024-10-25 21:07
6.4K
libantic-0.2.5-r0.apk
2024-10-25 21:07
34K
libandroidfw-dev-0_git20250115-r0.apk
2025-01-16 10:05
7.1K
libandroidfw-0_git20250115-r0.apk
2025-01-16 10:05
137K
libabigail-tools-2.3-r0.apk
2024-10-25 21:07
90K
libabigail-doc-2.3-r0.apk
2024-10-25 21:07
61K
libabigail-dev-2.3-r0.apk
2024-10-25 21:07
1.3M
libabigail-bash-completion-2.3-r0.apk
2024-10-25 21:07
3.0K
libabigail-2.3-r0.apk
2024-10-25 21:07
717K
lgogdownloader-doc-3.16-r0.apk
2024-12-25 19:58
8.5K
lgogdownloader-3.16-r0.apk
2024-12-25 19:58
329K
lfm-pyc-3.1-r4.apk
2024-10-25 21:07
134K
lfm-doc-3.1-r4.apk
2024-10-25 21:07
2.8K
lfm-3.1-r4.apk
2024-10-25 21:07
88K
levmar-dev-2.6-r0.apk
2024-10-25 21:07
43K
leptosfmt-doc-0.1.18-r0.apk
2024-10-25 21:07
6.2K
leptosfmt-0.1.18-r0.apk
2024-10-25 21:07
758K
legume-doc-1.4.2-r6.apk
2025-02-10 04:38
12K
legume-1.4.2-r6.apk
2025-02-10 04:38
1.4M
lefthook-doc-1.8.5-r2.apk
2025-02-10 04:38
2.2K
lefthook-1.8.5-r2.apk
2025-02-10 04:38
3.9M
ledmon-doc-1.0.0-r0.apk
2024-10-25 21:07
14K
ledmon-1.0.0-r0.apk
2024-10-25 21:07
69K
ldapdomaindump-pyc-0.9.4-r1.apk
2024-10-25 21:07
31K
ldapdomaindump-0.9.4-r1.apk
2024-10-25 21:07
18K
lazymc-openrc-0.2.11-r0.apk
2024-10-25 21:07
2.0K
lazymc-0.2.11-r0.apk
2024-10-25 21:07
1.0M
laze-zsh-completion-0.1.31-r0.apk
2025-02-22 13:17
3.6K
laze-fish-completion-0.1.31-r0.apk
2025-02-22 13:17
3.4K
laze-doc-0.1.31-r0.apk
2025-02-22 13:17
3.5K
laze-bash-completion-0.1.31-r0.apk
2025-02-22 13:17
3.1K
laze-0.1.31-r0.apk
2025-02-22 13:17
943K
latex-clean-fig-pyc-0.1.0-r0.apk
2025-02-04 18:31
4.1K
latex-clean-fig-0.1.0-r0.apk
2025-02-04 18:31
5.4K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 21:07
13K
kubesplit-0.3.3-r1.apk
2024-10-25 21:07
13K
kubeseal-doc-0.28.0-r0.apk
2025-02-22 13:17
5.5K
kubeseal-0.28.0-r0.apk
2025-02-22 13:17
11M
kubepug-zsh-completion-1.7.1-r7.apk
2025-02-10 04:38
4.0K
kubepug-fish-completion-1.7.1-r7.apk
2025-02-10 04:38
4.3K
kubepug-bash-completion-1.7.1-r7.apk
2025-02-10 04:38
5.1K
kubepug-1.7.1-r7.apk
2025-02-10 04:38
16M
kubeone-zsh-completion-1.9.2-r1.apk
2025-02-10 04:38
4.0K
kubeone-doc-1.9.2-r1.apk
2025-02-10 04:38
20K
kubeone-bash-completion-1.9.2-r1.apk
2025-02-10 04:38
6.6K
kubeone-1.9.2-r1.apk
2025-02-10 04:38
26M
kubectl-oidc_login-1.32.2-r1.apk
2025-02-10 04:38
5.1M
kubectl-krew-0.4.4-r9.apk
2025-02-10 04:38
4.2M
kubeconform-0.6.6-r4.apk
2025-02-10 04:38
3.1M
kube-no-trouble-0.7.3-r2.apk
2025-02-10 04:38
13M
ktx-libs-4.3.2-r0.apk
2024-10-25 21:07
1.2M
ktx-dev-4.3.2-r0.apk
2024-10-25 21:07
29K
ktx-4.3.2-r0.apk
2024-10-25 21:07
1.0M
kopia-zsh-completion-0.19.0-r1.apk
2025-02-10 04:38
1.7K
kopia-bash-completion-0.19.0-r1.apk
2025-02-10 04:38
1.7K
kopia-0.19.0-r1.apk
2025-02-10 04:38
16M
kondo-zsh-completion-0.8-r0.apk
2024-10-25 21:07
2.4K
kondo-fish-completion-0.8-r0.apk
2024-10-25 21:07
2.1K
kondo-bash-completion-0.8-r0.apk
2024-10-25 21:07
2.1K
kondo-0.8-r0.apk
2024-10-25 21:07
596K
kompose-zsh-completion-1.31.2-r7.apk
2025-02-10 04:38
6.7K
kompose-fish-completion-1.31.2-r7.apk
2025-02-10 04:38
4.3K
kompose-bash-completion-1.31.2-r7.apk
2025-02-10 04:38
5.5K
kompose-1.31.2-r7.apk
2025-02-10 04:38
6.9M
komikku-pyc-1.69.0-r0.apk
2025-02-10 16:14
737K
komikku-lang-1.69.0-r0.apk
2025-02-10 16:14
260K
komikku-1.69.0-r0.apk
2025-02-10 16:14
1.0M
kodi-vfs-sftp-20.2.0-r1.apk
2024-10-25 21:07
51K
kodi-vfs-sacd-20.1.0-r1.apk
2024-10-25 21:07
86K
kodi-vfs-rar-20.1.0-r1.apk
2024-10-25 21:07
384K
kodi-vfs-libarchive-20.3.0-r1.apk
2024-10-25 21:07
102K
kodi-pvr-iptvsimple-20.11.0-r0.apk
2024-10-25 21:07
889K
kodi-pvr-hts-20.6.2-r1.apk
2024-10-25 21:07
284K
kodi-peripheral-joystick-20.1.9-r0.apk
2024-10-25 21:07
198K
kodi-inputstream-rtmp-20.3.0-r1.apk
2024-10-25 21:07
85K
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk
2024-10-25 21:07
320K
kodi-inputstream-adaptive-21.4.10-r0.apk
2024-10-25 21:07
950K
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2024-10-25 21:07
16K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2024-10-25 21:07
26K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2024-10-25 21:07
23K
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2024-10-25 21:07
19K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2024-10-25 21:07
1.3M
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2024-10-25 21:07
326K
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2024-10-25 21:07
160K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2024-10-25 21:07
180K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2024-10-25 21:07
74K
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2024-10-25 21:07
64K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2024-10-25 21:07
17K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2024-10-25 21:07
16K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2024-10-25 21:07
20K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2024-10-25 21:07
20K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2024-10-25 21:07
64K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2024-10-25 21:07
54K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2024-10-25 21:07
21K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2024-10-25 21:07
17K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2024-10-25 21:07
15K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2024-10-25 21:07
15K
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2024-10-25 21:07
241K
kodi-game-libretro-20.1.0-r0.apk
2024-10-25 21:07
109K
kodi-audioencoder-wav-20.2.0-r1.apk
2024-10-25 21:07
26K
kodi-audioencoder-vorbis-20.2.0-r1.apk
2024-10-25 21:07
34K
kodi-audioencoder-lame-20.3.0-r1.apk
2024-10-25 21:07
91K
kodi-audioencoder-flac-20.2.0-r1.apk
2024-10-25 21:07
42K
kodaskanna-lang-0.2.2-r0.apk
2025-01-25 08:04
23K
kodaskanna-0.2.2-r0.apk
2025-01-25 08:04
46K
ko-zsh-completion-0.17.1-r2.apk
2025-02-10 04:38
4.0K
ko-fish-completion-0.17.1-r2.apk
2025-02-10 04:38
4.2K
ko-bash-completion-0.17.1-r2.apk
2025-02-10 04:38
5.0K
ko-0.17.1-r2.apk
2025-02-10 04:38
9.7M
knxd-dev-0.14.61-r1.apk
2024-12-14 20:23
22K
knxd-0.14.61-r1.apk
2024-12-14 20:23
350K
knative-client-zsh-completion-1.17.0-r1.apk
2025-02-10 04:38
4.0K
knative-client-fish-completion-1.17.0-r1.apk
2025-02-10 04:38
4.2K
knative-client-bash-completion-1.17.0-r1.apk
2025-02-10 04:38
10K
knative-client-1.17.0-r1.apk
2025-02-10 04:38
22M
kmscon-doc-9.0.0-r0.apk
2024-10-25 21:07
7.7K
kmscon-9.0.0-r0.apk
2024-10-25 21:07
785K
klevernotes-lang-1.1.0-r0.apk
2024-10-25 21:07
142K
klevernotes-1.1.0-r0.apk
2024-10-25 21:07
2.1M
kjv-0_git20221103-r0.apk
2024-10-25 21:07
1.5M
kismet-nxp-kw41z-0.202307.1-r4.apk
2024-11-11 18:30
39K
kismet-nrf-51822-0.202307.1-r4.apk
2024-11-11 18:30
37K
kismet-logtools-0.202307.1-r4.apk
2024-11-11 18:30
1.0M
kismet-linux-wifi-0.202307.1-r4.apk
2024-11-11 18:30
58K
kismet-linux-bluetooth-0.202307.1-r4.apk
2024-11-11 18:30
40K
kismet-0.202307.1-r4.apk
2024-11-11 18:30
11M
kirc-doc-0.3.3-r0.apk
2025-02-22 13:34
2.8K
kirc-0.3.3-r0.apk
2025-02-22 13:34
14K
kine-doc-0.10.1-r10.apk
2025-02-10 04:38
5.1K
kine-0.10.1-r10.apk
2025-02-10 04:38
7.4M
kimchi-pyc-3.0.0-r8.apk
2025-02-10 22:12
476K
kimchi-lang-3.0.0-r8.apk
2025-02-10 22:12
172K
kimchi-3.0.0-r8.apk
2025-02-10 22:12
529K
khronos-lang-4.0.1-r0.apk
2024-10-25 21:07
26K
khronos-4.0.1-r0.apk
2024-10-25 21:07
47K
khinsider-2.0.7-r17.apk
2025-02-10 04:38
3.2M
kgraphviewer-lang-2.5.0-r0.apk
2024-10-25 21:07
230K
kgraphviewer-dev-2.5.0-r0.apk
2024-10-25 21:07
6.3K
kgraphviewer-2.5.0-r0.apk
2024-10-25 21:07
1.2M
kfc-0.1.4-r0.apk
2024-10-25 21:07
58K
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 21:07
9.7K
keystone-python-0.9.2-r6.apk
2024-10-25 21:07
1.5M
keystone-dev-0.9.2-r6.apk
2024-10-25 21:07
7.3K
keystone-0.9.2-r6.apk
2024-10-25 21:07
1.3M
keybase-client-6.2.8-r7.apk
2025-02-10 04:38
17M
kew-doc-3.0.3-r0.apk
2025-02-01 18:06
3.4K
kew-3.0.3-r0.apk
2025-02-01 18:06
288K
kerberoast-pyc-0.2.0-r1.apk
2024-10-25 21:07
15K
kerberoast-0.2.0-r1.apk
2024-10-25 21:07
9.6K
keepassxc-browser-1.8.9-r0.apk
2024-10-25 21:07
876K
kdiskmark-lang-3.1.4-r1.apk
2024-10-25 21:07
27K
kdiskmark-3.1.4-r1.apk
2024-10-25 21:07
154K
kbs2-zsh-completion-0.7.2-r3.apk
2024-10-25 21:07
4.0K
kbs2-fish-completion-0.7.2-r3.apk
2024-10-25 21:07
3.2K
kbs2-bash-completion-0.7.2-r3.apk
2024-10-25 21:07
3.1K
kbs2-0.7.2-r3.apk
2024-10-25 21:07
915K
katarakt-0.2-r1.apk
2025-01-29 20:44
74K
katana-1.1.0-r6.apk
2025-02-10 04:38
13M
kapow-0.7.1-r10.apk
2025-02-10 04:38
3.2M
kannel-doc-1.5.0-r11.apk
2024-10-25 21:07
6.2K
kannel-dev-1.5.0-r11.apk
2024-10-25 21:07
882K
kannel-1.5.0-r11.apk
2024-10-25 21:07
5.6M
kanister-tools-zsh-completion-0.112.0-r2.apk
2025-02-10 04:38
4.3K
kanister-tools-fish-completion-0.112.0-r2.apk
2025-02-10 04:38
4.8K
kanister-tools-bash-completion-0.112.0-r2.apk
2025-02-10 04:38
5.5K
kanister-tools-0.112.0-r2.apk
2025-02-10 04:38
59M
kalker-2.2.1-r0.apk
2024-10-25 21:07
600K
kabmat-doc-2.7.0-r0.apk
2024-10-25 21:07
3.5K
kabmat-2.7.0-r0.apk
2024-10-25 21:07
50K
k3sup-zsh-completion-0.13.6-r2.apk
2025-02-10 04:38
3.9K
k3sup-fish-completion-0.13.6-r2.apk
2025-02-10 04:38
4.2K
k3sup-bash-completion-0.13.6-r2.apk
2025-02-10 04:38
5.0K
k3sup-0.13.6-r2.apk
2025-02-10 04:38
2.4M
k2-0_git20220807-r1.apk
2024-10-25 21:07
98K
jwt-cli-6.2.0-r0.apk
2024-12-14 19:18
751K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 21:07
24K
junit2html-31.0.2-r0.apk
2024-10-25 21:07
17K
jsonnet-language-server-0.15.0-r1.apk
2025-02-10 04:38
3.8M
jsonnet-bundler-0.6.0-r2.apk
2025-02-10 04:38
2.9M
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 21:07
2.3K
json2tsv-jaq-1.2-r0.apk
2024-10-25 21:07
1.9K
json2tsv-doc-1.2-r0.apk
2024-10-25 21:07
5.2K
json2tsv-1.2-r0.apk
2024-10-25 21:07
6.2K
jsmn-1.1.0-r2.apk
2024-10-25 21:07
4.7K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 21:07
502K
jotdown-0.4.0-r0.apk
2024-10-25 21:07
186K
jhead-doc-3.08-r0.apk
2024-10-25 21:07
7.9K
jhead-3.08-r0.apk
2024-10-25 21:07
31K
jfrog-cli-2.45.0-r9.apk
2025-02-10 04:38
8.7M
jedi-language-server-pyc-0.43.1-r0.apk
2025-01-04 02:41
37K
jedi-language-server-0.43.1-r0.apk
2025-01-04 02:41
26K
jdupes-doc-1.28.0-r0.apk
2024-10-25 21:07
9.0K
jdupes-1.28.0-r0.apk
2024-10-25 21:07
27K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 21:07
12K
jdebp-redo-1.4-r1.apk
2024-10-25 21:07
82K
jbigkit-doc-2.1-r2.apk
2024-10-25 21:07
7.3K
jbigkit-dev-2.1-r2.apk
2024-10-25 21:07
28K
jbigkit-2.1-r2.apk
2024-10-25 21:07
62K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 21:07
219K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 21:07
12K
java-jtharness-6.0_p12-r0.apk
2024-10-25 21:07
4.0M
java-gdcm-3.0.24-r0.apk
2024-10-25 21:07
579K
jaq-doc-2.1.0-r0.apk
2025-02-05 23:08
2.2K
jaq-2.1.0-r0.apk
2025-02-05 23:08
551K
jami-qt-lang-20230925-r0.apk
2024-10-25 21:07
2.3M
jami-qt-doc-20230925-r0.apk
2024-10-25 21:07
2.7K
jami-qt-20230925-r0.apk
2024-10-25 21:07
13M
jalv-gtk-1.6.8-r1.apk
2024-10-25 21:07
30K
jalv-doc-1.6.8-r1.apk
2024-10-25 21:07
3.2K
jalv-1.6.8-r1.apk
2024-10-25 21:07
43K
jackline-0.1.0-r3.apk
2024-10-25 21:07
3.9M
jackdaw-pyc-0.3.1-r1.apk
2024-10-25 21:07
370K
jackdaw-0.3.1-r1.apk
2024-10-25 21:07
2.0M
jackal-openrc-0.64.0-r12.apk
2025-02-10 04:38
1.8K
jackal-0.64.0-r12.apk
2025-02-10 04:38
11M
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 21:07
30K
iwasm-gc-2.2.0-r0.apk
2024-12-05 17:11
192K
iwasm-2.2.0-r0.apk
2024-12-05 17:11
1.6K
itd-1.1.0-r10.apk
2025-02-10 04:38
8.5M
it87-src-1_p20240609-r0.apk
2024-10-25 21:07
30K
isoinfo-0_git20131217-r1.apk
2024-10-25 21:07
6.1K
irctk-transport-fossil-1.1.0-r0.apk
2024-10-25 21:07
13K
irctk-doc-1.1.0-r0.apk
2024-10-25 21:07
16K
irctk-1.1.0-r0.apk
2024-10-25 21:07
29K
ircdog-0.5.4-r2.apk
2025-02-10 04:38
2.2M
ircd-hybrid-doc-8.2.46-r0.apk
2025-01-25 08:04
3.6K
ircd-hybrid-8.2.46-r0.apk
2025-01-25 08:04
306K
irccd-openrc-4.0.3-r0.apk
2024-10-25 21:07
1.8K
irccd-doc-4.0.3-r0.apk
2024-10-25 21:07
80K
irccd-dev-4.0.3-r0.apk
2024-10-25 21:07
9.6K
irccd-4.0.3-r0.apk
2024-10-25 21:07
223K
iprange-doc-1.0.4-r1.apk
2024-10-25 21:07
4.5K
iprange-1.0.4-r1.apk
2024-10-25 21:07
20K
ip2location-doc-8.6.1-r0.apk
2024-10-25 21:07
2.7K
ip2location-dev-8.6.1-r0.apk
2024-10-25 21:07
11K
ip2location-8.6.1-r0.apk
2024-10-25 21:07
21K
invidtui-0.4.6-r2.apk
2025-02-10 04:38
3.7M
interception-tools-openrc-0.6.8-r2.apk
2024-10-25 21:07
1.7K
interception-tools-0.6.8-r2.apk
2024-10-25 21:07
97K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 21:07
5.4K
innernet-openrc-1.6.1-r0.apk
2024-10-25 21:07
2.3K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 21:07
4.5K
innernet-doc-1.6.1-r0.apk
2024-10-25 21:07
9.0K
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 21:07
3.8K
innernet-1.6.1-r0.apk
2024-10-25 21:07
2.5M
initify-0_git20171210-r1.apk
2024-10-25 21:07
3.3K
incus-ui-canonical-0.14.6-r0.apk
2025-02-04 18:20
4.5M
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 21:07
20K
imrsh-0_git20210320-r1.apk
2024-10-25 21:07
7.6K
imgdiff-doc-1.0.2-r23.apk
2025-02-10 04:38
2.2K
imgdiff-1.0.2-r23.apk
2025-02-10 04:38
955K
imediff-pyc-2.6-r1.apk
2024-10-25 21:07
44K
imediff-doc-2.6-r1.apk
2024-10-25 21:07
6.5K
imediff-2.6-r1.apk
2024-10-25 21:07
42K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 21:07
13K
imapfilter-2.8.2-r0.apk
2024-10-25 21:07
35K
ijq-doc-1.1.0-r5.apk
2025-02-10 04:38
3.5K
ijq-1.1.0-r5.apk
2025-02-10 04:38
1.4M
igrep-doc-1.2.0-r0.apk
2024-10-25 21:07
4.1K
igrep-1.2.0-r0.apk
2024-10-25 21:07
1.5M
ifuse-doc-1.1.4-r5.apk
2024-10-30 23:44
2.3K
ifuse-1.1.4-r5.apk
2024-10-30 23:44
9.4K
idevicerestore-doc-1.0.0-r4.apk
2024-10-30 23:44
2.5K
idevicerestore-1.0.0-r4.apk
2024-10-30 23:44
77K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 23:44
2.5K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 23:44
14K
idesk-1-r1.apk
2024-10-25 21:07
60K
icmake-doc-9.03.01-r0.apk
2024-10-25 21:07
127K
icmake-9.03.01-r0.apk
2024-10-25 21:07
94K
icestorm-0_git20240517-r0.apk
2024-10-25 21:07
17M
icesprog-udev-0_git20240108-r1.apk
2024-10-25 21:07
1.9K
icesprog-0_git20240108-r1.apk
2024-10-25 21:07
8.2K
i3status-rust-doc-0.33.2-r0.apk
2024-11-11 04:21
34K
i3status-rust-0.33.2-r0.apk
2024-11-11 04:21
4.1M
i2util-doc-4.2.1-r1.apk
2024-10-25 21:07
4.7K
i2util-dev-4.2.1-r1.apk
2024-10-25 21:07
43K
i2util-4.2.1-r1.apk
2024-10-25 21:07
20K
hyx-doc-2024.02.29-r0.apk
2024-10-25 21:07
2.2K
hyx-2024.02.29-r0.apk
2024-10-25 21:07
16K
hypnotix-lang-3.5-r0.apk
2024-10-25 21:07
72K
hypnotix-3.5-r0.apk
2024-10-25 21:07
110K
hyfetch-zsh-completion-1.99.0-r1.apk
2024-10-25 21:07
2.5K
hyfetch-pyc-1.99.0-r1.apk
2024-10-25 21:07
180K
hyfetch-doc-1.99.0-r1.apk
2024-10-25 21:07
17K
hyfetch-bash-completion-1.99.0-r1.apk
2024-10-25 21:07
3.3K
hyfetch-1.99.0-r1.apk
2024-10-25 21:07
433K
hy-pyc-1.0.0-r0.apk
2024-10-25 21:07
169K
hy-1.0.0-r0.apk
2024-10-25 21:07
85K
hx-doc-1.0.15-r0.apk
2024-10-25 21:07
4.8K
hx-1.0.15-r0.apk
2024-10-25 21:07
13K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 21:07
1.9K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 21:07
1.8K
hwatch-doc-0.3.11-r0.apk
2024-10-25 21:07
3.0K
hwatch-0.3.11-r0.apk
2024-10-25 21:07
894K
hw-probe-1.6.6-r0.apk
2025-02-11 11:52
124K
hurlfmt-6.0.0-r0.apk
2024-12-07 21:18
767K
hurl-zsh-completion-6.0.0-r0.apk
2024-12-07 21:18
3.9K
hurl-fish-completion-6.0.0-r0.apk
2024-12-07 21:18
3.4K
hurl-doc-6.0.0-r0.apk
2024-12-07 21:18
8.6K
hurl-bash-completion-6.0.0-r0.apk
2024-12-07 21:18
2.2K
hurl-6.0.0-r0.apk
2024-12-07 21:18
1.6M
hunspell-es-ar-doc-2.7-r0.apk
2024-10-25 21:07
2.8K
hunspell-es-ar-2.7-r0.apk
2024-10-25 21:07
226K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 21:07
731K
hubble-cli-zsh-completion-0.13.6-r2.apk
2025-02-10 04:38
4.0K
hubble-cli-fish-completion-0.13.6-r2.apk
2025-02-10 04:38
4.3K
hubble-cli-bash-completion-0.13.6-r2.apk
2025-02-10 04:38
5.0K
hubble-cli-0.13.6-r2.apk
2025-02-10 04:38
17M
hub-zsh-completion-2.14.2-r28.apk
2025-02-10 04:38
3.6K
hub-fish-completion-2.14.2-r28.apk
2025-02-10 04:38
3.2K
hub-doc-2.14.2-r28.apk
2025-02-10 04:38
42K
hub-bash-completion-2.14.2-r28.apk
2025-02-10 04:38
4.5K
hub-2.14.2-r28.apk
2025-02-10 04:38
2.6M
httrack-doc-3.49.2-r5.apk
2024-10-25 21:07
528K
httrack-3.49.2-r5.apk
2024-10-25 21:07
717K
httpx-doc-1.6.10-r1.apk
2025-02-10 04:38
2.2K
httpx-1.6.10-r1.apk
2025-02-10 04:38
13M
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 21:07
2.3K
httpie-oauth-1.0.2-r9.apk
2024-10-25 21:07
3.4K
htslib-tools-1.19-r0.apk
2024-10-25 21:07
1.1M
htslib-static-1.19-r0.apk
2024-10-25 21:07
419K
htslib-doc-1.19-r0.apk
2024-10-25 21:07
23K
htslib-dev-1.19-r0.apk
2024-10-25 21:07
115K
htslib-1.19-r0.apk
2024-10-25 21:07
331K
htmldoc-doc-1.9.20-r0.apk
2024-12-10 16:23
98K
htmldoc-1.9.20-r0.apk
2024-12-10 16:23
2.3M
htmlcxx-dev-0.87-r1.apk
2024-10-25 21:07
21K
htmlcxx-0.87-r1.apk
2024-10-25 21:07
54K
hstdb-2.1.0-r2.apk
2024-10-25 21:07
787K
hsetroot-1.0.5-r1.apk
2024-10-25 21:07
11K
hpnssh-doc-18.4.1-r0.apk
2024-10-25 21:07
98K
hpnssh-18.4.1-r0.apk
2024-10-25 21:07
2.0M
hping3-doc-20051105-r4.apk
2024-10-25 21:07
17K
hping3-20051105-r4.apk
2024-10-25 21:07
63K
howard-bc-doc-7.0.3-r0.apk
2024-10-25 21:07
39K
howard-bc-7.0.3-r0.apk
2024-10-25 21:07
59K
horust-doc-0.1.7-r2.apk
2024-10-25 21:07
9.2K
horust-0.1.7-r2.apk
2024-10-25 21:07
934K
horizon-tools-0.9.6-r9.apk
2024-10-25 21:07
67K
horizon-image-0.9.6-r9.apk
2024-10-25 21:07
60K
horizon-doc-0.9.6-r9.apk
2024-10-25 21:07
21K
horizon-dev-0.9.6-r9.apk
2024-10-25 21:07
4.9K
horizon-dbg-0.9.6-r9.apk
2024-10-25 21:07
4.0M
horizon-0.9.6-r9.apk
2024-10-25 21:07
187K
hopalong-0.1-r3.apk
2024-10-25 21:07
19K
homebank-lang-5.8.6-r0.apk
2025-01-06 00:12
920K
homebank-5.8.6-r0.apk
2025-01-06 00:12
1.8M
hitide-openrc-0.15.0-r0.apk
2024-10-25 21:07
2.1K
hitide-0.15.0-r0.apk
2024-10-25 21:07
1.9M
hiprompt-gtk-py-0.8.0-r0.apk
2024-10-25 21:07
8.3K
hilbish-doc-2.3.4-r2.apk
2025-02-10 04:38
25K
hilbish-2.3.4-r2.apk
2025-02-10 04:38
3.2M
hikari-unlocker-2.3.3-r6.apk
2024-10-25 21:07
3.8K
hikari-doc-2.3.3-r6.apk
2024-10-25 21:07
14K
hikari-2.3.3-r6.apk
2024-10-25 21:07
929K
highfive-2.10.1-r0.apk
2025-01-15 03:50
75K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 21:07
118K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 21:07
63K
hiawatha-openrc-11.6-r0.apk
2024-10-25 21:07
1.7K
hiawatha-letsencrypt-11.6-r0.apk
2024-10-25 21:07
18K
hiawatha-doc-11.6-r0.apk
2024-10-25 21:07
21K
hiawatha-11.6-r0.apk
2024-10-25 21:07
150K
hfst-libs-3.16.0-r2.apk
2024-10-25 21:07
1.5M
hfst-doc-3.16.0-r2.apk
2024-10-25 21:07
71K
hfst-dev-3.16.0-r2.apk
2024-10-25 21:07
213K
hfst-3.16.0-r2.apk
2024-10-25 21:07
1.2M
hexer-dev-1.4.0-r16.apk
2024-11-08 01:05
7.3K
hexer-1.4.0-r16.apk
2024-11-08 01:05
53K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 21:07
5.6K
hexedit-1.6_git20230905-r0.apk
2024-10-25 21:07
17K
hexdiff-doc-0.0.53-r2.apk
2024-10-25 21:07
3.7K
hexdiff-0.0.53-r2.apk
2024-10-25 21:07
13K
hex-0.6.0-r0.apk
2024-10-25 21:07
258K
herbe-1.0.0-r0.apk
2024-10-25 21:07
5.6K
helmfile-zsh-completion-0.170.1-r1.apk
2025-02-10 04:38
4.0K
helmfile-fish-completion-0.170.1-r1.apk
2025-02-10 04:38
4.3K
helmfile-doc-0.170.1-r1.apk
2025-02-10 04:38
2.2K
helmfile-bash-completion-0.170.1-r1.apk
2025-02-10 04:38
5.1K
helmfile-0.170.1-r1.apk
2025-02-10 04:38
45M
helm-unittest-0.7.1-r2.apk
2025-02-10 04:38
11M
helm-mapkubeapis-0.5.2-r2.apk
2025-02-10 04:38
19M
helm-ls-doc-0.0.12-r6.apk
2025-02-10 04:38
2.2K
helm-ls-0.0.12-r6.apk
2025-02-10 04:38
11M
helm-diff-3.9.13-r2.apk
2025-02-10 04:38
21M
heisenbridge-pyc-1.15.0-r0.apk
2025-02-23 02:56
154K
heisenbridge-1.15.0-r0.apk
2025-02-23 02:56
67K
heh-doc-0.6.1-r0.apk
2024-10-25 21:07
4.1K
heh-0.6.1-r0.apk
2024-10-25 21:07
415K
hdf4-tools-4.2.15-r2.apk
2024-10-25 21:07
173K
hdf4-doc-4.2.15-r2.apk
2024-10-25 21:07
6.0K
hdf4-dev-4.2.15-r2.apk
2024-10-25 21:07
101K
hdf4-4.2.15-r2.apk
2024-10-25 21:07
241K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 21:07
34K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 21:07
2.2K
hddfancontrol-1.6.2-r0.apk
2024-10-25 21:07
33K
hctl-0.2.6-r0.apk
2024-10-25 21:07
1.1M
haxe-doc-4.3.6-r0.apk
2024-11-29 07:11
7.7K
haxe-4.3.6-r0.apk
2024-11-29 07:11
9.8M
hatop-doc-0.8.2-r0.apk
2024-10-25 21:07
3.0K
hatop-0.8.2-r0.apk
2024-10-25 21:07
18K
hashcat-doc-6.2.6-r0.apk
2024-10-25 21:07
2.1M
hashcat-6.2.6-r0.apk
2024-10-25 21:07
52M
harminv-libs-1.4.2-r1.apk
2024-10-25 21:07
11K
harminv-doc-1.4.2-r1.apk
2024-10-25 21:07
5.6K
harminv-dev-1.4.2-r1.apk
2024-10-25 21:07
3.1K
harminv-1.4.2-r1.apk
2024-10-25 21:07
7.1K
haproxy-dataplaneapi-openrc-3.0.1-r2.apk
2025-02-10 04:38
2.1K
haproxy-dataplaneapi-3.0.1-r2.apk
2025-02-10 04:38
11M
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 21:07
358K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 21:07
206K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 21:07
116K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 21:07
2.0K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 21:07
156K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 21:07
2.4K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 21:07
2.0K
halp-doc-0.2.0-r0.apk
2024-10-25 21:07
6.9K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 21:07
2.2K
halp-0.2.0-r0.apk
2024-10-25 21:07
830K
habitctl-0.1.0-r2.apk
2024-10-25 21:07
285K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 21:07
108K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 21:07
2.7K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 21:07
8.8K
h4h5tools-2.2.5-r4.apk
2024-10-25 21:07
112K
gxlimg-0_git20240711-r0.apk
2025-01-25 08:03
23K
gx-go-doc-1.9.0-r29.apk
2025-02-10 04:38
2.2K
gx-go-1.9.0-r29.apk
2025-02-10 04:38
4.6M
gx-doc-0.14.3-r27.apk
2025-02-10 04:38
2.2K
gx-0.14.3-r27.apk
2025-02-10 04:38
4.4M
gutenprint-static-5.3.4-r5.apk
2024-10-25 21:07
685K
gutenprint-samples-5.3.4-r5.apk
2024-10-25 21:07
634K
gutenprint-libs-5.3.4-r5.apk
2024-10-25 21:07
470K
gutenprint-lang-5.3.4-r5.apk
2024-10-25 21:07
1.9M
gutenprint-doc-5.3.4-r5.apk
2024-10-25 21:07
8.4K
gutenprint-dev-5.3.4-r5.apk
2024-10-25 21:07
36K
gutenprint-cups-5.3.4-r5.apk
2024-10-25 21:07
49M
gutenprint-5.3.4-r5.apk
2024-10-25 21:07
817K
gupnp-doc-1.6.8-r0.apk
2025-01-25 08:03
3.7K
gupnp-dlna-dev-0.12.0-r0.apk
2024-12-01 13:57
24K
gupnp-dlna-0.12.0-r0.apk
2024-12-01 13:57
59K
gupnp-dev-1.6.8-r0.apk
2025-01-25 08:03
50K
gupnp-av-dev-0.14.3-r0.apk
2025-01-16 00:26
41K
gupnp-av-0.14.3-r0.apk
2025-01-16 00:26
71K
gupnp-1.6.8-r0.apk
2025-01-25 08:03
79K
guish-doc-2.6.11-r0.apk
2024-12-24 11:42
61K
guish-2.6.11-r0.apk
2024-12-24 11:42
81K
gufw-pyc-24.04-r3.apk
2024-11-20 03:43
65K
gufw-lang-24.04-r3.apk
2024-11-20 03:43
855K
gufw-doc-24.04-r3.apk
2024-11-20 03:43
4.5K
gufw-24.04-r3.apk
2024-11-20 03:43
596K
guetzli-dev-0_git20191025-r1.apk
2024-10-25 21:07
2.1M
guetzli-0_git20191025-r1.apk
2024-10-25 21:07
115K
guestfs-tools-1.52.0-r1.apk
2024-10-25 21:07
275K
guake-pyc-3.10-r1.apk
2024-10-25 21:07
186K
guake-lang-3.10-r1.apk
2024-10-25 21:07
188K
guake-3.10-r1.apk
2024-10-25 21:07
305K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 21:07
27K
gtkwave-3.3.120-r0.apk
2024-10-25 21:07
2.4M
gtklock-doc-4.0.0-r0.apk
2025-02-01 18:06
3.0K
gtklock-4.0.0-r0.apk
2025-02-01 18:06
17K
gtkhash-lang-1.5-r0.apk
2024-10-25 21:07
47K
gtkhash-1.5-r0.apk
2024-10-25 21:07
84K
gtk4-layer-shell-doc-1.1.0-r0.apk
2025-02-01 18:06
2.2K
gtk4-layer-shell-dev-1.1.0-r0.apk
2025-02-01 18:06
12K
gtk4-layer-shell-demo-1.1.0-r0.apk
2025-02-01 18:06
9.1K
gtk4-layer-shell-1.1.0-r0.apk
2025-02-01 18:06
24K
gtk-session-lock-dev-0.2.0-r0.apk
2025-02-01 18:06
5.3K
gtk-session-lock-0.2.0-r0.apk
2025-02-01 18:06
23K
gstreamermm-dev-1.10.0-r6.apk
2025-02-22 13:17
310K
gstreamermm-1.10.0-r6.apk
2025-02-22 13:17
403K
gst-rtsp-server-dev-1.24.12-r0.apk
2025-02-22 13:17
93K
gst-rtsp-server-1.24.12-r0.apk
2025-02-22 13:17
213K
gssdp-dev-1.6.3-r0.apk
2024-12-01 13:57
15K
gssdp-1.6.3-r0.apk
2024-12-01 13:57
41K
gsimplecal-doc-2.5.1-r0.apk
2024-10-25 21:07
5.8K
gsimplecal-2.5.1-r0.apk
2024-10-25 21:07
16K
gsettings-qt-dev-0.2_git20220807-r1.apk
2024-10-25 21:07
3.6K
gsettings-qt-0.2_git20220807-r1.apk
2024-10-25 21:07
38K
grpcurl-1.9.2-r2.apk
2025-02-10 04:38
7.6M
grpcui-1.4.2-r2.apk
2025-02-10 04:38
8.0M
gron-0.7.1-r21.apk
2025-02-10 04:38
2.3M
grlx-sprout-openrc-1.0.5-r2.apk
2025-02-10 04:38
1.6K
grlx-sprout-1.0.5-r2.apk
2025-02-10 04:38
6.5M
grlx-farmer-openrc-1.0.5-r2.apk
2025-02-10 04:38
1.6K
grlx-farmer-1.0.5-r2.apk
2025-02-10 04:38
13M
grlx-1.0.5-r2.apk
2025-02-10 04:38
14M
grip-lang-4.2.4-r0.apk
2024-10-25 21:07
144K
grip-doc-4.2.4-r0.apk
2024-10-25 21:07
6.2K
grip-4.2.4-r0.apk
2024-10-25 21:07
372K
greetd-wlgreet-0.5.0-r0.apk
2024-10-25 21:07
556K
greetd-regreet-0.2.0-r1.apk
2025-02-08 17:37
1.1M
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 21:07
3.3K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 21:07
2.2K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 21:07
18K
grcov-0.8.20-r0.apk
2024-11-11 11:03
1.7M
granite7-lang-7.5.0-r0.apk
2024-10-25 21:07
52K
granite7-dev-7.5.0-r0.apk
2024-10-25 21:07
39K
granite7-7.5.0-r0.apk
2024-10-25 21:07
110K
gr-satellites-doc-5.5.0-r4.apk
2024-12-18 13:24
4.5K
gr-satellites-dev-5.5.0-r4.apk
2024-12-18 13:24
13K
gr-satellites-5.5.0-r4.apk
2024-12-18 13:24
455K
gpscorrelate-lang-2.2-r0.apk
2024-11-12 12:07
17K
gpscorrelate-doc-2.2-r0.apk
2024-11-12 12:07
228K
gpscorrelate-cli-2.2-r0.apk
2024-11-12 12:07
21K
gpscorrelate-2.2-r0.apk
2024-11-12 12:07
43K
gpsbabel-lang-1.9.0-r0.apk
2024-10-25 21:07
88K
gpsbabel-1.9.0-r0.apk
2024-10-25 21:07
1.2M
gprbuild-22.0.0-r3.apk
2024-10-25 21:07
12M
gpg-remailer-doc-3.04.07-r1.apk
2024-10-25 21:07
9.5K
gpg-remailer-3.04.07-r1.apk
2024-10-25 21:07
46K
gpa-doc-0.10.0-r2.apk
2024-10-25 21:07
2.9K
gpa-0.10.0-r2.apk
2024-10-25 21:07
199K
goxel-0.15.1-r0.apk
2024-10-25 21:07
1.6M
gotify-openrc-2.5.0-r3.apk
2025-02-10 04:38
1.9K
gotify-cli-2.3.2-r2.apk
2025-02-10 04:38
3.9M
gotify-2.5.0-r3.apk
2025-02-10 04:38
9.3M
gotestsum-1.12.0-r4.apk
2025-02-10 04:38
2.2M
gosu-1.17-r7.apk
2025-02-10 04:38
1.0M
gost-doc-2.12.0-r2.apk
2025-02-10 04:38
2.2K
gost-2.12.0-r2.apk
2025-02-10 04:38
5.2M
goshs-doc-1.0.1-r2.apk
2025-02-10 04:38
2.2K
goshs-1.0.1-r2.apk
2025-02-10 04:38
5.4M
gortr-openrc-0.14.8-r10.apk
2025-02-10 04:38
1.9K
gortr-0.14.8-r10.apk
2025-02-10 04:38
9.5M
goreman-0.3.15-r10.apk
2025-02-10 04:38
2.0M
goomwwm-1.0.0-r5.apk
2024-10-25 21:07
42K
gomp-1.0.0-r9.apk
2025-02-10 04:38
3.3M
godot-templates-4.3-r3.apk
2025-01-28 23:59
41M
godot-doc-4.3-r3.apk
2025-01-28 23:59
4.5K
godot-4.3-r3.apk
2025-01-28 23:59
45M
gobuster-3.6.0-r9.apk
2025-02-10 04:38
3.2M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 21:07
1.5M
goawk-doc-1.29.1-r2.apk
2025-02-10 04:38
44K
goawk-1.29.1-r2.apk
2025-02-10 04:38
1.2M
go-passbolt-cli-0.3.1-r5.apk
2025-02-10 04:38
6.4M
go-mtpfs-1.0.0-r24.apk
2025-02-10 04:38
1.1M
go-jsonnet-0.20.0-r11.apk
2025-02-10 04:38
5.8M
gnucash-lang-5.10-r1.apk
2025-02-22 13:17
8.2M
gnucash-doc-5.10-r1.apk
2025-02-22 13:17
1.4M
gnucash-dev-5.10-r1.apk
2025-02-22 13:17
302K
gnucash-5.10-r1.apk
2025-02-22 13:17
8.0M
gnu-apl-doc-1.9-r0.apk
2024-10-25 21:07
1.6M
gnu-apl-dev-1.9-r0.apk
2024-10-25 21:07
580K
gnu-apl-1.9-r0.apk
2024-10-25 21:07
1.2M
gnome-user-share-lang-47.0-r0.apk
2024-10-25 21:07
66K
gnome-user-share-47.0-r0.apk
2024-10-25 21:07
13K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 21:07
25K
gnome-metronome-1.3.0-r0.apk
2024-10-25 21:07
411K
gnome-latex-lang-3.47.0-r2.apk
2024-12-12 20:26
530K
gnome-latex-doc-3.47.0-r2.apk
2024-12-12 20:26
105K
gnome-latex-3.47.0-r2.apk
2024-12-12 20:26
345K
gnome-common-3.18.0-r3.apk
2024-10-25 21:07
12K
gmid-openrc-2.1.1-r0.apk
2024-11-27 20:26
2.2K
gmid-doc-2.1.1-r0.apk
2024-11-27 20:26
14K
gmid-2.1.1-r0.apk
2024-11-27 20:26
190K
gmic-qt-3.3.5-r1.apk
2024-11-22 00:02
1.5M
gmic-libs-3.3.5-r1.apk
2024-11-22 00:02
4.0M
gmic-doc-3.3.5-r1.apk
2024-11-22 00:02
219K
gmic-dev-3.3.5-r1.apk
2024-11-22 00:02
7.7K
gmic-bash-completion-3.3.5-r1.apk
2024-11-22 00:02
28K
gmic-3.3.5-r1.apk
2024-11-22 00:02
11M
gmenuharness-dev-0.1.4-r2.apk
2025-02-22 13:17
4.1K
gmenuharness-0.1.4-r2.apk
2025-02-22 13:17
32K
gmcapsule-pyc-0.9.7-r0.apk
2025-01-08 20:12
61K
gmcapsule-openrc-0.9.7-r0.apk
2025-01-08 20:12
1.9K
gmcapsule-0.9.7-r0.apk
2025-01-08 20:12
36K
glslviewer-3.2.4-r1.apk
2025-02-09 00:44
1.6M
glow-zsh-completion-2.0.0-r2.apk
2025-02-10 04:38
4.0K
glow-fish-completion-2.0.0-r2.apk
2025-02-10 04:38
4.3K
glow-doc-2.0.0-r2.apk
2025-02-10 04:38
3.1K
glow-bash-completion-2.0.0-r2.apk
2025-02-10 04:38
5.0K
glow-2.0.0-r2.apk
2025-02-10 04:38
5.6M
gloox-dev-1.0.28-r0.apk
2024-10-25 21:07
896K
gloox-1.0.28-r0.apk
2024-10-25 21:07
332K
glmark2-doc-2023.01-r1.apk
2024-10-25 21:07
13K
glmark2-2023.01-r1.apk
2024-10-25 21:07
7.9M
gliderlabs-sigil-doc-0.11.0-r2.apk
2025-02-10 04:38
2.4K
gliderlabs-sigil-0.11.0-r2.apk
2025-02-10 04:38
2.9M
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 21:07
46K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 21:07
201K
glfw-wayland-3.3.8-r3.apk
2024-10-25 21:07
57K
gkrellm-server-2.3.11-r0.apk
2025-01-08 23:36
47K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 23:36
379K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 23:36
19K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 23:36
17K
gkrellm-2.3.11-r0.apk
2025-01-08 23:36
346K
git2json-pyc-0.2.3-r8.apk
2024-10-25 21:07
5.7K
git2json-0.2.3-r8.apk
2024-10-25 21:07
7.4K
git-secret-doc-0.5.0-r0.apk
2024-10-25 21:07
17K
git-secret-0.5.0-r0.apk
2024-10-25 21:07
15K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 21:07
42K
git-revise-doc-0.7.0-r5.apk
2024-10-25 21:07
5.0K
git-revise-0.7.0-r5.apk
2024-10-25 21:07
24K
git-quick-stats-doc-2.5.8-r0.apk
2024-10-25 21:07
2.9K
git-quick-stats-2.5.8-r0.apk
2024-10-25 21:07
12K
git-graph-doc-0.6.0-r0.apk
2024-11-26 00:38
6.2K
git-graph-0.6.0-r0.apk
2024-11-26 00:38
752K
git-extras-doc-7.3.0-r0.apk
2024-11-20 03:43
63K
git-extras-bash-completion-7.3.0-r0.apk
2024-11-20 03:43
2.8K
git-extras-7.3.0-r0.apk
2024-11-20 03:43
55K
git-cola-pyc-4.11.0-r0.apk
2025-02-01 18:06
774K
git-cola-doc-4.11.0-r0.apk
2025-02-01 18:06
5.8K
git-cola-4.11.0-r0.apk
2025-02-01 18:06
861K
git-bug-zsh-completion-0.8.0-r16.apk
2025-02-10 04:38
3.9K
git-bug-fish-completion-0.8.0-r16.apk
2025-02-10 04:38
3.9K
git-bug-doc-0.8.0-r16.apk
2025-02-10 04:38
17K
git-bug-bash-completion-0.8.0-r16.apk
2025-02-10 04:38
5.1K
git-bug-0.8.0-r16.apk
2025-02-10 04:38
8.7M
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 21:07
61K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 21:07
53K
gingerbase-2.3.0-r7.apk
2024-10-25 21:07
195K
ginger-pyc-2.4.0-r7.apk
2024-10-25 21:07
207K
ginger-lang-2.4.0-r7.apk
2024-10-25 21:07
125K
ginger-2.4.0-r7.apk
2024-10-25 21:07
257K
ginac-doc-1.8.7-r1.apk
2024-10-25 21:07
98K
ginac-dev-1.8.7-r1.apk
2024-10-25 21:07
70K
ginac-1.8.7-r1.apk
2024-10-25 21:07
1.0M
gimp-plugin-gmic-3.3.5-r1.apk
2024-11-22 00:02
1.2M
ghq-zsh-completion-1.7.1-r2.apk
2025-02-10 04:38
2.4K
ghq-fish-completion-1.7.1-r2.apk
2025-02-10 04:38
2.4K
ghq-doc-1.7.1-r2.apk
2025-02-10 04:38
5.4K
ghq-bash-completion-1.7.1-r2.apk
2025-02-10 04:38
1.7K
ghq-1.7.1-r2.apk
2025-02-10 04:38
3.4M
ghostcloud-0.9.9.5-r2.apk
2024-10-25 21:07
414K
ghc-filesystem-1.5.14-r0.apk
2024-10-25 21:07
39K
gf2x-dev-1.3.0-r1.apk
2024-10-25 21:07
55K
gf2x-1.3.0-r1.apk
2024-10-25 21:07
34K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 22:36
229K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 22:36
497K
getting-things-gnome-0.6-r4.apk
2024-12-08 22:36
715K
getssl-2.48-r0.apk
2024-10-25 21:07
82K
getmail6-pyc-6.19.07-r0.apk
2025-01-26 16:48
105K
getmail6-doc-6.19.07-r0.apk
2025-01-26 16:48
137K
getmail6-6.19.07-r0.apk
2025-01-26 16:48
71K
geonames-lang-0.3.1-r2.apk
2024-10-25 21:07
4.6M
geonames-doc-0.3.1-r2.apk
2024-10-25 21:07
13K
geonames-dev-0.3.1-r2.apk
2024-10-25 21:07
3.0K
geonames-0.3.1-r2.apk
2024-10-25 21:07
826K
geomyidae-openrc-0.34-r2.apk
2024-10-25 21:07
2.0K
geomyidae-doc-0.34-r2.apk
2024-10-25 21:07
7.7K
geomyidae-0.34-r2.apk
2024-10-25 21:07
13K
geodns-openrc-3.3.0-r10.apk
2025-02-10 04:38
1.7K
geodns-logs-3.3.0-r10.apk
2025-02-10 04:38
4.0M
geodns-3.3.0-r10.apk
2025-02-10 04:38
4.4M
geoclue-stumbler-0.2.0-r0.apk
2024-12-31 13:56
26K
genact-1.4.2-r0.apk
2024-10-25 21:07
1.3M
gede-2.18.2-r1.apk
2024-10-25 21:07
241K
gearmand-openrc-1.1.21-r1.apk
2024-10-25 21:07
1.8K
gearmand-doc-1.1.21-r1.apk
2024-10-25 21:07
190K
gearmand-1.1.21-r1.apk
2024-10-25 21:07
153K
gearman-libs-1.1.21-r1.apk
2024-10-25 21:07
67K
gearman-dev-1.1.21-r1.apk
2024-10-25 21:07
1.0M
gdcm-doc-pdf-3.0.24-r0.apk
2024-10-25 21:07
14M
gdcm-doc-html-3.0.24-r0.apk
2024-10-25 21:07
8.7M
gdcm-doc-3.0.24-r0.apk
2024-10-25 21:07
55K
gdcm-dev-3.0.24-r0.apk
2024-10-25 21:07
463K
gdcm-3.0.24-r0.apk
2024-10-25 21:07
354K
gdb-dashboard-doc-0.17.3-r0.apk
2024-12-24 23:53
3.0K
gdb-dashboard-0.17.3-r0.apk
2024-12-24 23:53
23K
gcli-doc-2.6.1-r0.apk
2025-01-26 18:28
28K
gcli-2.6.1-r0.apk
2025-01-26 18:28
109K
gb-0.4.4-r28.apk
2025-02-10 04:38
6.4M
gaupol-pyc-1.12-r2.apk
2024-10-25 21:07
419K
gaupol-lang-1.12-r2.apk
2024-10-25 21:07
277K
gaupol-doc-1.12-r2.apk
2024-10-25 21:07
2.4K
gaupol-1.12-r2.apk
2024-10-25 21:07
276K
gatling-openrc-0.16-r6.apk
2024-10-25 21:07
2.8K
gatling-doc-0.16-r6.apk
2024-10-25 21:07
9.1K
gatling-0.16-r6.apk
2024-10-25 21:07
136K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 21:07
17K
gammastep-lang-2.0.9-r3.apk
2024-10-25 21:07
78K
gammastep-doc-2.0.9-r3.apk
2024-10-25 21:07
14K
gammastep-2.0.9-r3.apk
2024-10-25 21:07
87K
gamja-doc-1.0.0_beta9-r0.apk
2024-10-25 21:07
2.2K
gamja-1.0.0_beta9-r0.apk
2024-10-25 21:07
599K
gamescope-3.16.1-r0.apk
2025-01-10 16:33
1.1M
gamemode-doc-1.8.2-r0.apk
2025-02-06 00:19
7.5K
gamemode-dev-1.8.2-r0.apk
2025-02-06 00:19
5.1K
gamemode-1.8.2-r0.apk
2025-02-06 00:19
69K
game-devices-udev-0.23-r0.apk
2024-11-12 11:58
6.2K
gambit-doc-4.9.5-r0.apk
2024-10-25 21:07
4.3K
gambit-dev-4.9.5-r0.apk
2024-10-25 21:07
6.6M
gambit-4.9.5-r0.apk
2024-10-25 21:07
7.4M
fzy-doc-1.0-r3.apk
2024-10-25 21:07
2.7K
fzy-1.0-r3.apk
2024-10-25 21:07
11K
fyi-fish-completion-1.0.4-r0.apk
2024-10-25 21:07
2.2K
fyi-doc-1.0.4-r0.apk
2024-10-25 21:07
7.0K
fyi-bash-completion-1.0.4-r0.apk
2024-10-25 21:07
1.8K
fyi-1.0.4-r0.apk
2024-10-25 21:07
9.4K
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 21:07
1.1M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 21:07
5.5M
fusesoc-pyc-2.3-r0.apk
2024-10-25 21:07
89K
fusesoc-2.3-r0.apk
2024-10-25 21:07
46K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 21:07
1.7K
fusee-nano-0.5.3-r1.apk
2024-10-25 21:07
20K
fungw-tcl-1.2.1-r0.apk
2024-12-30 10:48
12K
fungw-python3-1.2.1-r0.apk
2024-12-30 10:48
24K
fungw-perl-1.2.1-r0.apk
2024-12-30 10:48
46K
fungw-mujs-1.2.1-r0.apk
2024-12-30 10:48
14K
fungw-lua-1.2.1-r0.apk
2024-12-30 10:48
13K
fungw-fawk-1.2.1-r0.apk
2024-12-30 10:48
99K
fungw-duktape-1.2.1-r0.apk
2024-12-30 10:48
15K
fungw-doc-1.2.1-r0.apk
2024-12-30 10:48
13K
fungw-dev-1.2.1-r0.apk
2024-12-30 10:48
7.6K
fungw-cli-1.2.1-r0.apk
2024-12-30 10:48
22K
fungw-c-1.2.1-r0.apk
2024-12-30 10:48
7.6K
fungw-1.2.1-r0.apk
2024-12-30 10:48
13K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 21:07
22K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 21:07
7.9K
fulcrum-1.9.8-r1.apk
2024-10-25 21:07
792K
freshrss-themes-1.23.1-r1.apk
2024-10-25 21:07
1.5M
freshrss-sqlite-1.23.1-r1.apk
2024-10-25 21:07
1.4K
freshrss-pgsql-1.23.1-r1.apk
2024-10-25 21:07
1.4K
freshrss-openrc-1.23.1-r1.apk
2024-10-25 21:07
2.5K
freshrss-mysql-1.23.1-r1.apk
2024-10-25 21:07
1.4K
freshrss-lang-1.23.1-r1.apk
2024-10-25 21:07
379K
freshrss-doc-1.23.1-r1.apk
2024-10-25 21:07
751K
freshrss-1.23.1-r1.apk
2024-10-25 21:07
1.5M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 21:07
1.2M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 21:07
2.5K
frescobaldi-3.3.0-r1.apk
2024-10-25 21:07
3.5M
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 21:07
93K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 21:07
180K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 21:07
427K
freediameter-dev-1.5.0-r1.apk
2024-10-25 21:07
54K
freediameter-1.5.0-r1.apk
2024-10-25 21:07
9.2K
freealut-dev-1.1.0-r1.apk
2024-10-25 21:07
21K
freealut-1.1.0-r1.apk
2024-10-25 21:07
16K
fq-0.13.0-r2.apk
2025-02-10 04:38
4.3M
fpp-doc-0.9.5-r0.apk
2024-10-25 21:07
5.6K
fpp-0.9.5-r0.apk
2024-10-25 21:07
29K
fplll-strategies-5.5.0-r0.apk
2024-11-20 03:43
1.7M
fplll-static-5.5.0-r0.apk
2024-11-20 03:43
7.6M
fplll-libs-5.5.0-r0.apk
2024-11-20 03:43
6.0M
fplll-dev-5.5.0-r0.apk
2024-11-20 03:43
78K
fplll-5.5.0-r0.apk
2024-11-20 03:43
43K
fpc-stage0-3.2.2-r3.apk
2024-10-25 21:07
6.2M
fpc-doc-3.2.2-r4.apk
2024-10-25 21:07
1.2M
fpc-3.2.2-r4.apk
2024-10-25 21:07
69M
fox-utils-1.6.57-r0.apk
2024-10-25 21:07
6.4K
fox-shutterbug-1.6.57-r0.apk
2024-10-25 21:07
18K
fox-pathfinder-1.6.57-r0.apk
2024-10-25 21:07
46K
fox-doc-1.6.57-r0.apk
2024-10-25 21:07
2.0M
fox-dev-1.6.57-r0.apk
2024-10-25 21:07
1.5M
fox-calculator-1.6.57-r0.apk
2024-10-25 21:07
29K
fox-adie-1.6.57-r0.apk
2024-10-25 21:07
115K
fox-1.6.57-r0.apk
2024-10-25 21:07
878K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 21:07
1.5K
foolsm-doc-1.0.21-r0.apk
2024-10-25 21:07
3.9K
foolsm-1.0.21-r0.apk
2024-10-25 21:07
31K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 21:07
58K
font-tiresias-0_git20200704-r0.apk
2024-10-25 21:07
568K
font-tinos-0_git20210228-r0.apk
2024-10-25 21:07
199K
font-teluguvijayam-20190525-r2.apk
2024-10-25 21:07
3.7M
font-tamzen-1.11.5-r1.apk
2024-10-25 21:07
62K
font-stix-ttf-2.13-r0.apk
2024-10-25 21:07
430K
font-stix-otf-2.13-r0.apk
2024-10-25 21:07
2.0M
font-siji-20190218_git-r2.apk
2024-10-25 21:07
24K
font-openmoji-15.0.0-r0.apk
2024-12-24 23:52
3.5M
font-monocraft-4.0-r0.apk
2024-10-25 21:07
677K
font-monaspace-xenon-1.101-r0.apk
2024-10-25 21:07
2.3M
font-monaspace-radon-1.101-r0.apk
2024-10-25 21:07
2.7M
font-monaspace-neon-1.101-r0.apk
2024-10-25 21:07
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 21:07
2.1M
font-monaspace-argon-1.101-r0.apk
2024-10-25 21:07
2.2M
font-monaspace-1.101-r0.apk
2024-10-25 21:07
1.5K
font-material-icons-4.0.0-r0.apk
2024-10-25 21:07
652K
font-katex-0.16.2-r0.apk
2024-10-25 21:07
852K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 21:07
281K
font-hanazono-20170904-r1.apk
2024-10-25 21:07
29M
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 21:07
205K
font-firamath-0.3.4-r0.apk
2024-10-25 21:07
118K
font-fira-ttf-4.202-r0.apk
2024-10-25 21:07
6.2M
font-fira-otf-4.202-r0.apk
2024-10-25 21:07
7.4M
font-fira-code-vf-6.2-r0.apk
2024-10-25 21:07
145K
font-fira-code-6.2-r0.apk
2024-10-25 21:07
836K
font-fira-4.202-r0.apk
2024-10-25 21:07
1.2K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 21:07
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 21:07
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 21:07
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 21:07
316K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 21:07
5.5K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 21:07
1.2K
font-cousine-0_git20210228-r0.apk
2024-10-25 21:07
110K
font-commit-mono-1.143-r0.apk
2024-10-25 21:07
251K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 21:07
1.0M
font-comic-neue-2.51-r0.apk
2024-10-25 21:07
249K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 21:07
626K
font-chivo-0_git20221110-r0.apk
2024-10-25 21:07
792K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 21:07
18M
font-anonymous-pro-1.002-r2.apk
2024-10-25 21:07
264K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 21:07
8.5K
foma-0.10.0_git20240712-r0.apk
2024-10-25 21:07
284K
fnf-doc-0.1-r0.apk
2024-10-25 21:07
4.6K
fnf-0.1-r0.apk
2024-10-25 21:07
14K
flowd-openrc-0.9.1-r10.apk
2024-10-25 21:07
1.9K
flowd-doc-0.9.1-r10.apk
2024-10-25 21:07
10K
flowd-dev-0.9.1-r10.apk
2024-10-25 21:07
8.3K
flowd-0.9.1-r10.apk
2024-10-25 21:07
75K
flintqs-1.0-r1.apk
2024-10-25 21:07
20K
flint-dev-2.9.0-r2.apk
2024-10-25 21:07
311K
flint-2.9.0-r2.apk
2024-10-25 21:07
4.0M
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 22:06
2.2K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 22:06
1.9K
flawz-doc-0.3.0-r0.apk
2024-11-03 22:06
6.0K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 22:06
2.1K
flawz-0.3.0-r0.apk
2024-11-03 22:06
1.1M
flauschige-uhr-0.1-r1.apk
2024-10-25 21:07
4.1K
flatpak-xdg-utils-1.0.6-r0.apk
2024-10-25 21:07
18K
flare-game-1.14-r0.apk
2024-10-25 21:07
2.2K
flare-engine-doc-1.14-r0.apk
2024-10-25 21:07
2.5K
flare-engine-1.14-r0.apk
2024-10-25 21:07
4.4M
flann-doc-1.9.2-r1.apk
2025-02-22 13:17
2.5K
flann-dev-1.9.2-r1.apk
2025-02-22 13:17
1.3M
flann-1.9.2-r1.apk
2025-02-22 13:17
1.5M
firehol-openrc-3.1.7-r2.apk
2024-10-25 21:07
2.1K
firehol-doc-3.1.7-r2.apk
2024-10-25 21:07
675K
firehol-3.1.7-r2.apk
2024-10-25 21:07
85K
finger-doc-0.5-r0.apk
2024-10-25 21:07
3.8K
finger-0.5-r0.apk
2024-10-25 21:07
6.1K
findtow-0.1-r0.apk
2024-10-25 21:07
4.3K
filite-0.3.0-r2.apk
2024-10-25 21:07
1.0M
fileshelter-openrc-6.2.0-r2.apk
2024-12-07 01:23
1.6K
fileshelter-6.2.0-r2.apk
2024-12-07 01:23
284K
filebrowser-openrc-2.27.0-r8.apk
2025-02-10 04:38
1.8K
filebrowser-2.27.0-r8.apk
2025-02-10 04:38
7.0M
fildesh-vim-0.2.0-r0.apk
2024-10-25 21:07
3.5K
fildesh-doc-0.2.0-r0.apk
2024-10-25 21:07
2.1K
fildesh-0.2.0-r0.apk
2024-10-25 21:07
58K
fheroes2-lang-1.1.6-r0.apk
2025-02-22 13:17
1.7M
fheroes2-1.1.6-r0.apk
2025-02-22 13:17
1.5M
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 21:07
4.5K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 21:07
3.5K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 21:07
3.6K
ffsend-0.2.76-r4.apk
2024-10-25 21:07
1.4M
ffms2-doc-5.0-r0.apk
2024-10-25 21:07
30K
ffms2-dev-5.0-r0.apk
2024-10-25 21:07
7.6K
ffms2-5.0-r0.apk
2024-10-25 21:07
65K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 21:07
345K
fff-doc-2.2-r0.apk
2024-10-25 21:07
9.0K
fff-2.2-r0.apk
2024-10-25 21:07
11K
ff2mpv-rust-doc-1.1.5-r0.apk
2025-01-04 23:30
14K
ff2mpv-rust-1.1.5-r0.apk
2025-01-04 23:30
187K
felix-2.16.0-r0.apk
2025-02-05 23:02
566K
featherpad-lang-1.5.1-r0.apk
2024-10-25 21:07
463K
featherpad-1.5.1-r0.apk
2024-10-25 21:07
643K
fdm-materials-5.2.2-r1.apk
2024-10-25 21:07
60K
fceux-doc-2.6.6-r2.apk
2024-10-25 21:07
106K
fceux-2.6.6-r2.apk
2024-10-25 21:07
2.8M
fbvnc-0_git20220812-r0.apk
2024-10-25 21:07
8.7K
fbcur-doc-1.0.1-r1.apk
2024-10-25 21:07
2.2K
fbcur-1.0.1-r1.apk
2024-10-25 21:07
4.2K
fava-pyc-1.28-r0.apk
2024-10-25 21:07
164K
fava-1.28-r0.apk
2024-10-25 21:07
1.1M
faust-vim-2.60.3-r2.apk
2024-10-25 21:07
2.6K
faust-tools-2.60.3-r2.apk
2024-10-25 21:07
118K
faust-static-2.60.3-r2.apk
2024-10-25 21:07
517K
faust-doc-2.60.3-r2.apk
2024-10-25 21:07
17M
faust-dev-2.60.3-r2.apk
2024-10-25 21:07
771K
faust-2.60.3-r2.apk
2024-10-25 21:07
7.7M
faultstat-doc-0.01.11-r0.apk
2024-10-25 21:07
3.0K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 21:07
2.3K
faultstat-0.01.11-r0.apk
2024-10-25 21:07
12K
fatresize-doc-1.1.0-r1.apk
2024-10-25 21:07
15K
fatresize-1.1.0-r1.apk
2024-10-25 21:07
8.5K
fatrace-doc-0.17.0-r0.apk
2024-10-25 21:07
3.3K
fatrace-0.17.0-r0.apk
2024-10-25 21:07
10K
fathom-1.3.1-r10.apk
2025-02-10 04:38
4.4M
fatback-doc-1.3-r2.apk
2024-10-25 21:07
16K
fatback-1.3-r2.apk
2024-10-25 21:07
24K
fastd-openrc-23-r0.apk
2025-01-27 22:33
1.7K
fastd-doc-23-r0.apk
2025-01-27 22:33
3.3K
fastd-23-r0.apk
2025-01-27 22:33
64K
fast_float-5.2.0-r1.apk
2024-10-25 21:07
43K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 21:07
25K
fabric-pyc-3.2.2-r1.apk
2024-10-25 21:07
60K
fabric-3.2.2-r1.apk
2024-10-25 21:07
55K
f_scripts-f_youtube-0.6-r1.apk
2024-10-25 21:07
2.8K
f_scripts-f_web-0.6-r1.apk
2024-10-25 21:07
2.9K
f_scripts-f_timer-0.6-r1.apk
2024-10-25 21:07
2.4K
f_scripts-f_theme-0.6-r1.apk
2024-10-25 21:07
2.6K
f_scripts-f_rss-0.6-r1.apk
2024-10-25 21:07
2.5K
f_scripts-f_phone-0.6-r1.apk
2024-10-25 21:07
6.0K
f_scripts-f_networks-0.6-r1.apk
2024-10-25 21:07
3.0K
f_scripts-f_maps-0.6-r1.apk
2024-10-25 21:07
2.1K
f_scripts-f_game-0.6-r1.apk
2024-10-25 21:07
1.9K
f_scripts-f_files-0.6-r1.apk
2024-10-25 21:07
2.9K
f_scripts-f_audio-0.6-r1.apk
2024-10-25 21:07
3.3K
f_scripts-0.6-r1.apk
2024-10-25 21:07
1.4K
extundelete-0.2.4-r1.apk
2024-10-25 21:07
39K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 21:07
6.7K
extremetuxracer-0.8.3-r0.apk
2024-10-25 21:07
40M
extrace-doc-0.9-r0.apk
2024-10-25 21:07
3.5K
extrace-0.9-r0.apk
2024-10-25 21:07
9.7K
exercism-zsh-completion-3.2.0-r9.apk
2025-02-10 04:38
2.1K
exercism-fish-completion-3.2.0-r9.apk
2025-02-10 04:38
2.3K
exercism-bash-completion-3.2.0-r9.apk
2025-02-10 04:38
1.9K
exercism-3.2.0-r9.apk
2025-02-10 04:38
3.8M
exabgp-pyc-4.2.22-r0.apk
2024-11-30 11:47
892K
exabgp-openrc-4.2.22-r0.apk
2024-11-30 11:47
2.2K
exabgp-doc-4.2.22-r0.apk
2024-11-30 11:47
8.0K
exabgp-4.2.22-r0.apk
2024-11-30 11:47
437K
evolution-on-3.24.4-r0.apk
2024-10-30 15:24
9.9K
eva-0.3.1-r2.apk
2024-10-25 21:07
555K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 21:07
45K
ettercap-0.8.3.1-r3.apk
2024-10-25 21:07
552K
esptool-pyc-4.8.1-r0.apk
2024-10-25 21:07
549K
esptool-4.8.1-r0.apk
2024-10-25 21:07
424K
espeakup-openrc-0.90-r2.apk
2024-10-25 21:07
1.8K
espeakup-0.90-r2.apk
2024-10-25 21:07
10K
errands-lang-46.2.7-r0.apk
2025-02-01 18:06
71K
errands-46.2.7-r0.apk
2025-02-01 18:06
85K
ergo-ldap-doc-0.0.1-r14.apk
2025-02-10 04:38
2.2K
ergo-ldap-0.0.1-r14.apk
2025-02-10 04:38
2.0M
epr-pyc-2.4.15-r1.apk
2024-10-25 21:07
24K
epr-2.4.15-r1.apk
2024-10-25 21:07
16K
epoch-1.3.0-r2.apk
2024-10-25 21:07
59K
envsubst-0.1-r1.apk
2024-10-25 21:07
4.0K
envconsul-0.13.3-r0.apk
2025-02-13 08:27
4.5M
enlighten-doc-0.9.2-r1.apk
2024-10-25 21:07
3.5K
enlighten-0.9.2-r1.apk
2024-10-25 21:07
7.0K
enjoy-0.3-r1.apk
2024-10-25 21:07
10K
endlessh-doc-1.1-r0.apk
2024-10-25 21:07
2.4K
endlessh-1.1-r0.apk
2024-10-25 21:07
8.8K
endless-sky-doc-0.10.2-r0.apk
2024-10-25 21:07
37K
endless-sky-0.10.2-r0.apk
2024-10-25 21:07
242M
endeavour-lang-43.0-r2.apk
2024-12-08 22:36
203K
endeavour-doc-43.0-r2.apk
2024-12-08 22:36
68K
endeavour-dev-43.0-r2.apk
2024-12-08 22:36
46K
endeavour-43.0-r2.apk
2024-12-08 22:36
170K
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 21:07
3.3M
emulationstation-2.11.2-r1.apk
2024-10-25 21:07
1.1M
empede-openrc-0.2.3-r0.apk
2024-10-25 21:07
1.9K
empede-doc-0.2.3-r0.apk
2024-10-25 21:07
2.3K
empede-0.2.3-r0.apk
2024-10-25 21:07
1.5M
emacs-taxy-magit-section-0.13-r0.apk
2024-10-25 21:07
17K
emacs-taxy-0.10.1-r0.apk
2024-10-25 21:07
11K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 21:07
19K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 21:07
17K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 21:07
29K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 21:07
6.6K
emacs-llama-0.3.1_git20240722-r0.apk
2024-10-25 21:07
9.9K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 21:07
46K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 21:07
9.8K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 21:07
815K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 21:07
16K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 21:07
62K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 21:07
15K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 21:07
37K
emacs-ement-0.15.1-r0.apk
2024-10-25 21:07
287K
emacs-embark-consult-1.0_git20240327-r0.apk
2024-10-25 21:07
10K
emacs-embark-1.0_git20240327-r0.apk
2024-10-25 21:07
110K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 21:07
4.3K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 21:07
17K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 21:07
5.9K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 21:07
6.1K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 21:07
23K
emacs-elfeed-3.4.1_git20240326-r0.apk
2024-10-25 21:07
91K
emacs-derl-0_git20231004-r0.apk
2024-10-25 21:07
23K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 21:07
138K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 21:07
14K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 21:07
55K
emacs-avy-embark-collect-1.0_git20240327-r0.apk
2024-10-25 21:07
3.9K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 21:07
43K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 21:07
23K
eludris-doc-0.3.3-r1.apk
2024-10-25 21:07
2.3K
eludris-0.3.3-r1.apk
2024-10-25 21:07
1.5M
elfio-dev-3.12-r0.apk
2024-10-25 21:07
55K
elfio-3.12-r0.apk
2024-10-25 21:07
1.4K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 21:07
108K
elf_diff-0.7.1-r3.apk
2024-10-25 21:07
108K
elementary-videos-lang-8.0.1-r0.apk
2024-11-10 23:06
85K
elementary-videos-8.0.1-r0.apk
2024-11-10 23:06
102K
elementary-theme-8.1.0-r0.apk
2025-01-12 21:34
1.5M
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 23:07
83K
elementary-photos-lang-8.0.1-r0.apk
2024-12-02 23:59
1.0M
elementary-photos-8.0.1-r0.apk
2024-12-02 23:59
1.0M
elementary-music-lang-8.0.0-r0.apk
2024-10-28 23:05
47K
elementary-music-8.0.0-r0.apk
2024-10-28 23:05
70K
elementary-icon-theme-8.0.0-r0.apk
2024-10-25 21:07
5.0M
elementary-feedback-lang-8.0.0-r0.apk
2024-10-27 15:17
43K
elementary-feedback-8.0.0-r0.apk
2024-10-27 15:17
41K
elementary-camera-lang-8.0.0-r0.apk
2024-10-27 15:20
34K
elementary-camera-8.0.0-r0.apk
2024-10-27 15:20
82K
elementary-calculator-lang-8.0.0-r0.apk
2024-10-28 23:05
57K
elementary-calculator-8.0.0-r0.apk
2024-10-28 23:05
67K
eiwd-openrc-2.22-r0.apk
2024-10-25 21:07
1.8K
eiwd-doc-2.22-r0.apk
2024-10-25 21:07
20K
eiwd-2.22-r0.apk
2024-10-25 21:07
697K
efl-gdb-1.27.0-r1.apk
2024-10-25 21:07
1.8K
efl-dev-1.27.0-r1.apk
2024-10-25 21:07
1.9M
efl-1.27.0-r1.apk
2024-10-25 21:07
33M
edward-doc-1.1.0-r0.apk
2024-10-25 21:07
5.3K
edward-1.1.0-r0.apk
2024-10-25 21:07
1.7M
edam-doc-1.0.2-r0.apk
2025-01-07 17:41
8.3K
edam-1.0.2-r0.apk
2025-01-07 17:41
29K
ecos-dev-2.0.10-r0.apk
2024-10-25 21:07
28K
ecos-2.0.10-r0.apk
2024-10-25 21:07
35K
ecm-doc-7.0.5-r1.apk
2024-10-25 21:07
7.2K
ecm-dev-7.0.5-r1.apk
2024-10-25 21:07
4.9K
ecm-7.0.5-r1.apk
2024-10-25 21:07
121K
ecasound-doc-2.9.3-r3.apk
2024-10-25 21:07
39K
ecasound-dev-2.9.3-r3.apk
2024-10-25 21:07
1.1M
ecasound-2.9.3-r3.apk
2024-10-25 21:07
621K
eboard-doc-1.1.3-r1.apk
2024-10-25 21:07
4.6K
eboard-1.1.3-r1.apk
2024-10-25 21:07
1.4M
eatmemory-0.1.6-r2.apk
2024-10-25 21:07
4.1K
e16-lang-1.0.30-r0.apk
2024-11-05 14:22
380K
e16-doc-1.0.30-r0.apk
2024-11-05 14:22
27K
e16-1.0.30-r0.apk
2024-11-05 14:22
740K
dwl-doc-0.7-r0.apk
2024-10-25 21:07
3.1K
dwl-0.7-r0.apk
2024-10-25 21:07
24K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 21:07
1.4K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 21:07
7.6K
dvdbackup-0.4.2-r1.apk
2024-10-25 21:07
16K
dustracing2d-2.1.1-r1.apk
2024-10-25 21:07
5.1M
dune-deps-1.3.0-r2.apk
2024-10-25 21:07
787K
dumb_runtime_dir-1.0.4-r3.apk
2024-10-25 21:07
3.4K
dum-0.1.19-r1.apk
2024-10-25 21:07
197K
dulcepan-1.0.2-r0.apk
2024-10-25 21:07
19K
dufs-zsh-completion-0.43.0-r0.apk
2025-01-25 08:03
2.7K
dufs-fish-completion-0.43.0-r0.apk
2025-01-25 08:03
2.3K
dufs-doc-0.43.0-r0.apk
2025-01-25 08:03
10K
dufs-bash-completion-0.43.0-r0.apk
2025-01-25 08:03
2.3K
dufs-0.43.0-r0.apk
2025-01-25 08:03
1.3M
duf-0.8.1-r23.apk
2025-02-10 04:38
1.0M
duc-doc-1.4.5-r0.apk
2024-10-25 21:07
9.1K
duc-1.4.5-r0.apk
2024-10-25 21:07
83K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 21:07
2.3K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 21:07
6.9K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 21:07
2.9K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 21:07
42K
dstask-zsh-completion-0.26-r11.apk
2025-02-10 04:38
1.6K
dstask-import-0.26-r11.apk
2025-02-10 04:38
3.1M
dstask-bash-completion-0.26-r11.apk
2025-02-10 04:38
2.1K
dstask-0.26-r11.apk
2025-02-10 04:38
1.3M
dsp-doc-1.9-r2.apk
2024-10-25 21:07
7.2K
dsp-1.9-r2.apk
2024-10-25 21:07
85K
dsnet-doc-0.7.3-r8.apk
2025-02-10 04:38
9.1K
dsnet-0.7.3-r8.apk
2025-02-10 04:38
3.6M
drupal7-doc-7.103-r0.apk
2024-12-04 18:28
57K
drupal7-7.103-r0.apk
2024-12-04 18:28
3.3M
drumgizmo-0.9.20-r1.apk
2024-10-25 21:07
359K
dropwatch-doc-1.5.4-r7.apk
2025-02-11 00:18
3.6K
dropwatch-1.5.4-r7.apk
2025-02-11 00:18
14K
drone-cli-1.8.0-r7.apk
2025-02-10 04:38
5.2M
droidcam-gui-2.1.3-r1.apk
2024-11-08 22:25
26K
droidcam-2.1.3-r1.apk
2024-11-08 22:25
17K
drogon-doc-1.9.4-r1.apk
2024-10-25 21:07
2.3K
drogon-dev-1.9.4-r1.apk
2024-10-25 21:07
125K
drogon-1.9.4-r1.apk
2024-10-25 21:07
1.3M
drawing-lang-1.0.2-r0.apk
2024-10-25 21:07
272K
drawing-1.0.2-r0.apk
2024-10-25 21:07
3.2M
draw-0.1.1-r10.apk
2025-02-10 04:38
950K
draco-tools-1.5.7-r2.apk
2025-02-22 13:17
1.1M
draco-static-1.5.7-r2.apk
2025-02-22 13:17
1.6M
draco-dev-1.5.7-r2.apk
2025-02-22 13:17
205K
draco-1.5.7-r2.apk
2025-02-22 13:17
719K
downloader-cli-0.3.4-r1.apk
2024-10-25 21:07
2.0K
dotnet6-templates-6.0.136-r1.apk
2024-11-25 06:20
5.8M
dotnet6-targeting-pack-6.0.36-r1.apk
2024-11-25 06:20
3.1M
dotnet6-stage0-bootstrap-6.0.116-r4.apk
2024-10-25 21:07
352M
dotnet6-stage0-artifacts-6.0.116-r4.apk
2024-10-25 21:07
1.0G
dotnet6-stage0-6.0.116-r4.apk
2024-10-25 21:06
1.2K
dotnet6-sdk-6.0.136-r1.apk
2024-11-25 06:20
102M
dotnet6-runtime-6.0.36-r1.apk
2024-11-25 06:20
26M
dotnet6-hostfxr-6.0.36-r1.apk
2024-11-25 06:20
130K
dotnet6-build-6.0.136-r1.apk
2024-11-25 06:20
1.0G
dotnet6-artifacts-6.0.136-r1.apk
2024-11-25 06:19
438M
dotnet6-apphost-pack-6.0.36-r1.apk
2024-11-25 06:19
3.7M
dotnet-zsh-completion-6.0.136-r1.apk
2024-11-25 06:19
1.7K
dotnet-host-6.0.36-r1.apk
2024-11-25 06:19
30K
dotnet-doc-6.0.136-r1.apk
2024-11-25 06:19
111K
dotnet-bash-completion-6.0.136-r1.apk
2024-11-25 06:19
1.8K
dotenv-linter-3.3.0-r1.apk
2024-10-25 21:06
739K
dooit-pyc-3.1.0-r0.apk
2024-12-07 21:22
100K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 21:22
23K
dooit-extras-0.2.0-r0.apk
2024-12-07 21:22
13K
dooit-3.1.0-r0.apk
2024-12-07 21:22
45K
dodo-pyc-0_git20241007-r0.apk
2024-10-25 21:06
86K
dodo-0_git20241007-r0.apk
2024-10-25 21:06
186K
dockerize-0.9.0-r2.apk
2025-02-10 04:38
3.0M
docker-volume-local-persist-openrc-1.3.0-r30.apk
2025-02-10 04:38
1.7K
docker-volume-local-persist-1.3.0-r30.apk
2025-02-10 04:38
2.3M
docker-auth-openrc-1.13.0-r1.apk
2025-02-10 04:38
2.0K
docker-auth-doc-1.13.0-r1.apk
2025-02-10 04:38
10K
docker-auth-1.13.0-r1.apk
2025-02-10 04:38
9.4M
doasedit-1.0.7-r0.apk
2024-10-25 21:06
3.6K
dnssec-tools-doc-2.2.3-r12.apk
2024-10-25 21:06
315K
dnssec-tools-dev-2.2.3-r12.apk
2024-10-25 21:06
168K
dnssec-tools-2.2.3-r12.apk
2024-10-25 21:06
733K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 21:06
35K
dnsperf-2.14.0-r0.apk
2024-10-25 21:06
72K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 21:06
5.2K
dnsenum-1.3.2-r0.apk
2024-10-25 21:06
21K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 21:06
26K
dnscontrol-doc-4.16.0-r1.apk
2025-02-10 04:38
2.2K
dnscontrol-4.16.0-r1.apk
2025-02-10 04:38
14M
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 23:03
46K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 23:03
1.8K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 23:03
25K
dmarc-cat-0.15.0-r2.apk
2025-02-10 04:38
2.3M
dlib-dev-19.24.4-r0.apk
2024-10-25 21:06
2.4M
dlib-19.24.4-r0.apk
2024-10-25 21:06
706K
dived-doc-1.9.0-r0.apk
2024-10-25 21:06
11K
dived-1.9.0-r0.apk
2024-10-25 21:06
20K
dive-0.12.0-r2.apk
2025-02-10 04:38
3.9M
dissent-0.0.32-r1.apk
2025-02-10 04:38
15M
dislocker-libs-0.7.3-r5.apk
2024-10-25 21:06
40K
dislocker-doc-0.7.3-r5.apk
2024-10-25 21:06
6.1K
dislocker-0.7.3-r5.apk
2024-10-25 21:06
12K
diskus-0.7.0-r2.apk
2024-10-25 21:06
287K
diskonaut-0.11.0-r3.apk
2024-10-25 21:06
389K
disfetch-3.7-r0.apk
2024-10-25 21:06
8.3K
ding-libs-dev-0.6.2-r4.apk
2024-10-25 21:06
68K
ding-libs-0.6.2-r4.apk
2024-10-25 21:06
60K
diceware-pyc-1.0.1-r0.apk
2025-01-13 23:49
18K
diceware-1.0.1-r0.apk
2025-01-13 23:49
334K
dhewm3-1.5.4-r0.apk
2025-02-22 13:17
4.6M
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 21:06
5.7K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 21:06
2.8K
dfu-programmer-1.1.0-r0.apk
2024-10-25 21:06
34K
dfl-sni-dev-0.2.0-r0.apk
2024-10-25 21:06
4.1K
dfl-sni-0.2.0-r0.apk
2024-10-25 21:06
28K
dfl-login1-dev-0.2.0-r0.apk
2024-10-25 21:06
3.4K
dfl-login1-0.2.0-r0.apk
2024-10-25 21:06
15K
dfl-ipc-dev-0.2.0-r0.apk
2024-10-25 21:06
3.6K
dfl-ipc-0.2.0-r0.apk
2024-10-25 21:06
18K
dfl-applications-dev-0.2.0-r0.apk
2024-10-25 21:06
4.1K
dfl-applications-0.2.0-r0.apk
2024-10-25 21:06
19K
dewduct-0.2.3-r0.apk
2024-10-25 21:06
1.0M
devil-dev-1.8.0-r0.apk
2024-10-25 21:06
13K
devil-1.8.0-r0.apk
2024-10-25 21:06
206K
detox-doc-2.0.0-r0.apk
2024-10-25 21:06
21K
detox-2.0.0-r0.apk
2024-10-25 21:06
109K
desync-0.9.6-r2.apk
2025-02-10 04:38
7.1M
desed-doc-1.2.1-r1.apk
2024-10-25 21:06
2.9K
desed-1.2.1-r1.apk
2024-10-25 21:06
342K
dehydrated-0.7.1-r0.apk
2024-10-25 21:06
26K
decoder-lang-0.6.1-r0.apk
2024-10-25 21:06
59K
decoder-0.6.1-r0.apk
2024-10-25 21:06
1.8M
debconf-utils-1.5.82-r0.apk
2024-10-25 21:06
6.7K
debconf-lang-1.5.82-r0.apk
2024-10-25 21:06
132K
debconf-doc-1.5.82-r0.apk
2024-10-25 21:06
27K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 21:06
1.9K
debconf-1.5.82-r0.apk
2024-10-25 21:06
69K
deadbeef-soxr-20180801-r0.apk
2024-10-25 21:06
5.2K
ddserver-0_git20200930-r1.apk
2024-10-25 21:06
11K
ddnrs-openrc-0.3.0-r0.apk
2024-10-25 21:06
2.0K
ddnrs-0.3.0-r0.apk
2024-10-25 21:06
859K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 21:06
2.7K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 21:06
2.3K
ddgr-doc-2.2-r0.apk
2024-10-25 21:06
12K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 21:06
2.2K
ddgr-2.2-r0.apk
2024-10-25 21:06
20K
ddcci-driver-linux-src-0.4.5-r1.apk
2025-01-09 12:45
19K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 21:06
62K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 21:06
6.6K
dcnnt-0.10.0-r1.apk
2024-10-25 21:06
28K
dcmtk-openrc-3.6.9-r0.apk
2025-01-25 08:03
1.7K
dcmtk-doc-3.6.9-r0.apk
2025-01-25 08:03
257K
dcmtk-dev-3.6.9-r0.apk
2025-01-25 08:03
1.6M
dcmtk-3.6.9-r0.apk
2025-01-25 08:03
1.2M
dbus-waiter-0.2.0-r0.apk
2024-10-25 21:06
592K
dbus-broker-doc-36-r0.apk
2024-10-25 21:06
5.8K
dbus-broker-36-r0.apk
2024-10-25 21:06
76K
dbmate-doc-2.16.0-r2.apk
2025-02-10 04:38
2.2K
dbmate-2.16.0-r2.apk
2025-02-10 04:38
9.6M
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 21:06
2.1K
dasht-doc-2.4.0-r0.apk
2024-10-25 21:06
11K
dasht-2.4.0-r0.apk
2024-10-25 21:06
14K
darts-clone-dev-0_git20181117-r0.apk
2024-10-25 21:06
13K
darts-clone-0_git20181117-r0.apk
2024-10-25 21:06
23K
dartaotruntime-3.6.0-r0.apk
2024-12-14 23:08
1.2M
dart-stage0-3.6.0_alpha2_p0-r0.apk
2024-12-14 23:08
197M
dart-sdk-3.6.0-r0.apk
2024-12-14 23:08
157M
dart-3.6.0-r0.apk
2024-12-14 23:08
47M
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 21:06
2.3K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 21:06
1.9K
daktilo-doc-0.6.0-r0.apk
2024-10-25 21:06
8.7K
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 21:06
2.2K
daktilo-0.6.0-r0.apk
2024-10-25 21:06
1.7M
daemontools-openrc-0.76-r3.apk
2024-10-25 21:06
2.0K
daemontools-0.76-r3.apk
2024-10-25 21:06
48K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 21:06
219K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 21:06
6.2K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 21:06
2.3K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 21:06
5.9K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 21:06
8.6K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 21:06
17K
cvs-fast-export-1.65-r0.apk
2024-10-25 21:06
43K
cvise-pyc-2.8.0-r2.apk
2024-10-25 21:06
63K
cvise-2.8.0-r2.apk
2024-10-25 21:06
5.0M
cutechess-doc-1.3.1-r0.apk
2024-10-25 21:06
3.5K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 21:06
6.6K
cutechess-cli-1.3.1-r0.apk
2024-10-25 21:06
305K
cutechess-1.3.1-r0.apk
2024-10-25 21:06
1.0M
curtail-lang-1.11.1-r0.apk
2024-10-25 21:06
66K
curtail-1.11.1-r0.apk
2024-10-25 21:06
27K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 21:06
6.1K
curlftpfs-0.9.2-r3.apk
2024-10-25 21:06
23K
cups-pdf-3.0.1-r2.apk
2024-10-25 21:06
21K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 21:06
81K
csol-doc-1.6.0-r0.apk
2024-10-25 21:06
3.8K
csol-1.6.0-r0.apk
2024-10-25 21:06
33K
csmith-doc-2.3.0-r2.apk
2024-10-25 21:06
3.1K
csmith-2.3.0-r2.apk
2024-10-25 21:06
273K
csfml-doc-2.5.2-r0.apk
2024-10-25 21:06
204K
csfml-dev-2.5.2-r0.apk
2024-10-25 21:06
77K
csfml-2.5.2-r0.apk
2024-10-25 21:06
86K
cscope-doc-15.9-r1.apk
2024-10-25 21:06
7.5K
cscope-15.9-r1.apk
2024-10-25 21:06
148K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 12:34
13K
crun-vm-0.3.0-r0.apk
2024-11-12 12:34
1.0M
crowdsec-splunk-plugin-1.6.5-r0.apk
2025-02-22 13:17
7.9M
crowdsec-slack-plugin-1.6.5-r0.apk
2025-02-22 13:17
7.9M
crowdsec-sentinel-plugin-1.6.5-r0.apk
2025-02-22 13:17
7.9M
crowdsec-openrc-1.6.5-r0.apk
2025-02-22 13:17
1.7K
crowdsec-http-plugin-1.6.5-r0.apk
2025-02-22 13:17
7.9M
crowdsec-email-plugin-1.6.5-r0.apk
2025-02-22 13:17
7.9M
crowdsec-1.6.5-r0.apk
2025-02-22 13:17
33M
crosstool-ng-doc-1.27.0-r0.apk
2025-02-06 05:42
33K
crosstool-ng-bash-completion-1.27.0-r0.apk
2025-02-06 05:42
2.1K
crosstool-ng-1.27.0-r0.apk
2025-02-06 05:42
2.0M
crossplane-pyc-0.5.8-r3.apk
2024-10-25 21:06
39K
crossplane-0.5.8-r3.apk
2024-10-25 21:06
30K
critcl-doc-3.3.1-r0.apk
2024-10-25 21:06
787K
critcl-3.3.1-r0.apk
2024-10-25 21:06
429K
crispy-doom-doc-7.0-r0.apk
2024-10-25 21:06
107K
crispy-doom-7.0-r0.apk
2024-10-25 21:06
1.6M
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 21:06
83K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 21:06
8.7K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 21:06
31K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 21:06
2.9K
createrepo_c-1.1.4-r0.apk
2024-10-25 21:06
44K
create-tauri-app-doc-4.5.9-r0.apk
2024-12-25 23:07
6.1K
create-tauri-app-4.5.9-r0.apk
2024-12-25 23:07
609K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 21:06
29K
cpufetch-doc-1.06-r0.apk
2024-10-25 21:06
3.1K
cpufetch-1.06-r0.apk
2024-10-25 21:06
41K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 21:06
10K
cpplint-pyc-2.0.0-r0.apk
2024-11-21 22:34
96K
cpplint-2.0.0-r0.apk
2024-11-21 22:34
77K
cpiped-0.1.0-r0.apk
2024-10-25 21:06
6.5K
coxeter-libs-3.0-r1.apk
2024-10-25 21:06
267K
coxeter-dev-3.0-r1.apk
2024-10-25 21:06
57K
coxeter-3.0-r1.apk
2024-10-25 21:06
48K
cowsay-doc-3.04-r2.apk
2024-10-25 21:06
4.0K
cowsay-3.04-r2.apk
2024-10-25 21:06
18K
cortex-tenant-openrc-1.15.2-r3.apk
2025-02-10 04:38
2.0K
cortex-tenant-1.15.2-r3.apk
2025-02-10 04:38
3.9M
copyq-doc-9.1.0-r1.apk
2024-12-12 18:44
3.4K
copyq-bash-completion-9.1.0-r1.apk
2024-12-12 18:44
2.2K
copyq-9.1.0-r1.apk
2024-12-12 18:44
2.4M
convert2json-doc-1.1.2-r0.apk
2024-11-30 12:17
11K
convert2json-1.1.2-r0.apk
2024-11-30 12:17
2.0M
contractor-0.3.5-r0.apk
2024-11-12 22:54
23K
consul-replicate-0.4.0-r28.apk
2025-02-10 04:38
2.6M
console_bridge-dev-1.0.2-r0.apk
2024-10-25 21:06
4.7K
console_bridge-1.0.2-r0.apk
2024-10-25 21:06
9.0K
conntracct-openrc-0.2.7-r28.apk
2025-02-10 04:38
1.9K
conntracct-0.2.7-r28.apk
2025-02-10 04:38
4.6M
compiz-utils-0.9.14.2-r8.apk
2025-02-22 13:17
3.3K
compiz-pyc-0.9.14.2-r8.apk
2025-02-22 13:17
111K
compiz-lang-0.9.14.2-r8.apk
2025-02-22 13:17
1.2M
compiz-dev-0.9.14.2-r8.apk
2025-02-22 13:17
117K
compiz-0.9.14.2-r8.apk
2025-02-22 13:17
5.3M
commoncpp-tools-7.0.1-r1.apk
2024-10-25 21:06
35K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 21:06
15K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 21:06
173K
commoncpp-7.0.1-r1.apk
2024-10-25 21:06
231K
comics-downloader-gui-0.33.8-r7.apk
2025-02-10 04:38
5.2M
comics-downloader-0.33.8-r7.apk
2025-02-10 04:38
3.5M
colorpicker-0_git20201128-r1.apk
2024-10-25 21:06
4.0K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 21:06
2.7K
colormake-0.9.20170221-r0.apk
2024-10-25 21:06
4.0K
coin-dev-4.0.3-r0.apk
2024-12-13 21:39
326K
coin-4.0.3-r0.apk
2024-12-13 21:39
2.5M
codeberg-cli-zsh-completion-0.4.7-r0.apk
2025-01-07 07:37
6.7K
codeberg-cli-fish-completion-0.4.7-r0.apk
2025-01-07 07:37
4.8K
codeberg-cli-bash-completion-0.4.7-r0.apk
2025-01-07 07:37
4.9K
codeberg-cli-0.4.7-r0.apk
2025-01-07 07:37
1.7M
code-minimap-doc-0.6.7-r0.apk
2024-12-12 20:34
8.0K
code-minimap-0.6.7-r0.apk
2024-12-12 20:34
316K
cocogitto-zsh-completion-6.2.0-r1.apk
2024-12-12 22:08
3.0K
cocogitto-fish-completion-6.2.0-r1.apk
2024-12-12 22:08
3.3K
cocogitto-doc-6.2.0-r1.apk
2024-12-12 22:08
36K
cocogitto-bash-completion-6.2.0-r1.apk
2024-12-12 22:08
3.0K
cocogitto-6.2.0-r1.apk
2024-12-12 22:08
1.9M
coccinelle-doc-1.1.1-r2.apk
2024-10-25 21:06
16K
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 21:06
2.9K
coccinelle-1.1.1-r2.apk
2024-10-25 21:06
6.7M
cmusfm-0.5.0-r0.apk
2024-10-25 21:06
13K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 21:06
103K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 21:06
33K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 21:06
1.0M
cluster-glue-1.0.12-r5.apk
2024-10-25 21:06
242K
cloudflared-openrc-2024.12.1-r2.apk
2025-02-10 04:38
1.7K
cloudflared-doc-2024.12.1-r2.apk
2025-02-10 04:38
1.8K
cloudflared-2024.12.1-r2.apk
2025-02-10 04:38
8.6M
cln-doc-1.3.7-r0.apk
2024-10-25 21:06
78K
cln-dev-1.3.7-r0.apk
2024-10-25 21:06
1.1M
cln-1.3.7-r0.apk
2024-10-25 21:06
415K
cliquer-tests-1.22-r2.apk
2024-10-25 21:06
24K
cliquer-static-1.22-r2.apk
2024-10-25 21:06
23K
cliquer-libs-1.22-r2.apk
2024-10-25 21:06
21K
cliquer-dev-1.22-r2.apk
2024-10-25 21:06
7.4K
cliquer-1.22-r2.apk
2024-10-25 21:06
6.8K
clipit-doc-1.4.5-r3.apk
2024-10-25 21:06
2.4K
clipit-1.4.5-r3.apk
2024-10-25 21:06
63K
cliphist-fzf-0.6.1-r2.apk
2025-02-10 04:38
1.7K
cliphist-0.6.1-r2.apk
2025-02-10 04:38
896K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 21:06
6.5K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 21:06
42K
click-pyc-0.5.2-r4.apk
2025-02-22 13:17
175K
click-doc-0.5.2-r4.apk
2025-02-22 13:17
3.3K
click-dev-0.5.2-r4.apk
2025-02-22 13:17
9.1K
click-0.5.2-r4.apk
2025-02-22 13:17
149K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 21:06
4.6K
clevis-doc-21-r0.apk
2025-01-25 08:03
23K
clevis-dbg-21-r0.apk
2025-01-25 08:03
62K
clevis-bash-completion-21-r0.apk
2025-01-25 08:03
2.0K
clevis-21-r0.apk
2025-01-25 08:03
49K
clementine-1.4.1_git20250121-r1.apk
2025-02-22 13:17
5.7M
clatd-1.6-r0.apk
2024-10-25 21:06
13K
ckb-next-dev-0.6.0-r1.apk
2024-10-25 21:06
5.0K
ckb-next-daemon-openrc-0.6.0-r1.apk
2024-10-25 21:06
1.8K
ckb-next-daemon-0.6.0-r1.apk
2024-10-25 21:06
68K
ckb-next-0.6.0-r1.apk
2024-10-25 21:06
1.2M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 21:06
18K
circuslinux-data-1.0.3-r1.apk
2024-10-25 21:06
1.1M
circuslinux-1.0.3-r1.apk
2024-10-25 21:06
19K
cimg-3.4.1-r0.apk
2024-10-25 21:06
826K
cilium-cli-zsh-completion-0.16.13-r2.apk
2025-02-10 04:38
4.0K
cilium-cli-fish-completion-0.16.13-r2.apk
2025-02-10 04:38
4.3K
cilium-cli-bash-completion-0.16.13-r2.apk
2025-02-10 04:38
5.0K
cilium-cli-0.16.13-r2.apk
2025-02-10 04:38
53M
chocolate-doom-doc-3.1.0-r0.apk
2024-10-25 21:06
231K
chocolate-doom-3.1.0-r0.apk
2024-10-25 21:06
1.5M
chim-doc-1.1.2-r1.apk
2024-10-25 21:06
2.8K
chim-1.1.2-r1.apk
2024-10-25 21:06
1.4M
chicago95-icons-3.0.1_git20240619-r0.apk
2024-10-25 21:06
12M
chicago95-fonts-3.0.1_git20240619-r0.apk
2024-10-25 21:06
215K
chicago95-3.0.1_git20240619-r0.apk
2024-10-25 21:06
481K
cherrytree-lang-1.2.0-r4.apk
2025-02-22 13:17
846K
cherrytree-doc-1.2.0-r4.apk
2025-02-22 13:17
2.1K
cherrytree-1.2.0-r4.apk
2025-02-22 13:17
2.5M
checkpolicy-doc-3.6-r0.apk
2024-10-25 21:06
4.1K
checkpolicy-3.6-r0.apk
2024-10-25 21:06
335K
chasquid-openrc-1.15.0-r1.apk
2025-02-10 04:38
1.9K
chasquid-doc-1.15.0-r1.apk
2025-02-10 04:38
15K
chasquid-1.15.0-r1.apk
2025-02-10 04:38
10M
charls-dev-2.4.2-r0.apk
2024-10-25 21:06
27K
charls-2.4.2-r0.apk
2024-10-25 21:06
56K
chamo-dev-4.0-r0.apk
2024-10-25 21:06
4.1M
chamo-byte-4.0-r0.apk
2024-10-25 21:06
1.4M
chamo-4.0-r0.apk
2024-10-25 21:06
6.2M
cgo-doc-0.6.1-r1.apk
2024-10-25 21:06
4.1K
cgo-0.6.1-r1.apk
2024-10-25 21:06
9.3K
cgiirc-0.5.12-r1.apk
2024-10-25 21:06
132K
cfssl-1.6.5-r2.apk
2025-02-10 04:38
27M
certstrap-1.3.0-r20.apk
2025-02-10 04:38
2.0M
certigo-1.16.0-r20.apk
2025-02-10 04:38
3.4M
certbot-dns-pdns-pyc-0.1.1-r0.apk
2024-10-25 21:06
3.9K
certbot-dns-pdns-0.1.1-r0.apk
2024-10-25 21:06
8.8K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-28 00:06
4.2K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-28 00:06
9.3K
cdogs-sdl-2.1.0-r0.apk
2024-10-25 21:06
33M
cdist-pyc-7.0.0-r6.apk
2024-10-25 21:06
128K
cdist-7.0.0-r6.apk
2024-10-25 21:06
511K
cddlib-tools-0.94m-r2.apk
2024-10-25 21:06
34K
cddlib-static-0.94m-r2.apk
2024-10-25 21:06
218K
cddlib-doc-0.94m-r2.apk
2024-10-25 21:06
864K
cddlib-dev-0.94m-r2.apk
2024-10-25 21:06
14K
cddlib-0.94m-r2.apk
2024-10-25 21:06
157K
cdba-server-1.0-r2.apk
2024-10-25 21:06
19K
cdba-1.0-r2.apk
2024-10-25 21:06
7.3K
ccze-doc-0.2.1-r1.apk
2024-10-25 21:06
8.8K
ccze-dev-0.2.1-r1.apk
2024-10-25 21:06
3.3K
ccze-0.2.1-r1.apk
2024-10-25 21:06
69K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 21:06
31K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 21:06
53K
ccrtp-2.1.2-r0.apk
2024-10-25 21:06
86K
cc65-2.19-r0.apk
2024-10-25 21:06
8.8M
cava-0.10.4-r1.apk
2025-02-22 13:17
40K
catfish-pyc-4.20.0-r0.apk
2024-12-27 20:25
101K
catfish-lang-4.20.0-r0.apk
2024-12-27 20:25
162K
catfish-doc-4.20.0-r0.apk
2024-12-27 20:25
13K
catfish-4.20.0-r0.apk
2024-12-27 20:25
126K
catdoc-doc-0.95-r1.apk
2024-10-25 21:06
9.2K
catdoc-0.95-r1.apk
2024-10-25 21:06
106K
catcodec-doc-1.0.5-r2.apk
2024-10-25 21:06
4.9K
catcodec-1.0.5-r2.apk
2024-10-25 21:06
11K
castor-0.9.0-r2.apk
2024-10-25 21:06
650K
castero-pyc-0.9.5-r3.apk
2024-10-25 21:06
95K
castero-0.9.5-r3.apk
2024-10-25 21:06
52K
cargo-vendor-filterer-0.5.9-r1.apk
2024-10-25 21:06
382K
cargo-update-doc-16.1.0-r0.apk
2025-02-08 02:37
8.2K
cargo-update-16.1.0-r0.apk
2025-02-08 02:37
1.0M
cargo-udeps-doc-0.1.55-r0.apk
2025-02-22 13:34
7.4K
cargo-udeps-0.1.55-r0.apk
2025-02-22 13:34
4.0M
cargo-sort-1.0.9_git20240110-r0.apk
2024-10-25 21:06
355K
cargo-shuttle-zsh-completion-0.52.0-r0.apk
2025-02-04 23:02
7.3K
cargo-shuttle-fish-completion-0.52.0-r0.apk
2025-02-04 23:02
7.3K
cargo-shuttle-doc-0.52.0-r0.apk
2025-02-04 23:02
9.1K
cargo-shuttle-bash-completion-0.52.0-r0.apk
2025-02-04 23:02
4.7K
cargo-shuttle-0.52.0-r0.apk
2025-02-04 23:02
3.9M
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 21:06
5.0K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 21:06
383K
cargo-machete-doc-0.7.0-r0.apk
2024-12-28 19:15
3.9K
cargo-machete-0.7.0-r0.apk
2024-12-28 19:15
1.1M
cargo-generate-0.22.0-r1.apk
2024-11-22 19:51
1.9M
cargo-flamegraph-zsh-completion-0.6.7-r0.apk
2025-01-13 12:49
2.9K
cargo-flamegraph-fish-completion-0.6.7-r0.apk
2025-01-13 12:49
2.5K
cargo-flamegraph-doc-0.6.7-r0.apk
2025-01-13 12:49
15K
cargo-flamegraph-bash-completion-0.6.7-r0.apk
2025-01-13 12:49
2.3K
cargo-flamegraph-0.6.7-r0.apk
2025-01-13 12:49
1.1M
cargo-expand-doc-1.0.100-r0.apk
2025-01-12 22:00
5.7K
cargo-expand-1.0.100-r0.apk
2025-01-12 22:00
2.2M
cargo-crev-0.25.9-r0.apk
2024-10-25 21:06
5.3M
cargo-chef-doc-0.1.71-r0.apk
2025-01-30 00:01
9.0K
cargo-chef-0.1.71-r0.apk
2025-01-30 00:01
1.0M
care-doc-2.3.0-r1.apk
2024-10-25 21:06
7.9K
care-2.3.0-r1.apk
2024-10-25 21:06
82K
caps2esc-0.3.2-r0.apk
2024-10-25 21:06
4.3K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:06
22K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 21:06
34K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 21:06
3.2K
caffeine-ng-4.2.0-r1.apk
2024-10-25 21:06
100K
cadence-0.9.2-r0.apk
2024-10-25 21:06
1.9M
c2rust-0.18.0-r1.apk
2024-10-25 21:06
1.7M
bwrap-oci-doc-0.2-r1.apk
2024-10-25 21:06
2.5K
bwrap-oci-0.2-r1.apk
2024-10-25 21:06
14K
butane-0.22.0-r2.apk
2025-02-10 04:38
2.6M
burp-server-3.1.4-r0.apk
2024-10-25 21:06
36K
burp-doc-3.1.4-r0.apk
2024-10-25 21:06
99K
burp-3.1.4-r0.apk
2024-10-25 21:06
151K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 21:06
29K
bump2version-1.0.1-r6.apk
2024-10-25 21:06
21K
buildcache-0.28.9-r0.apk
2024-10-25 21:06
633K
btpd-doc-0.16-r2.apk
2024-10-25 21:06
8.4K
btpd-0.16-r2.apk
2024-10-25 21:06
62K
btfs-doc-2.24-r12.apk
2024-10-25 21:06
2.4K
btfs-2.24-r12.apk
2024-10-25 21:06
27K
brltty-static-6.7-r0.apk
2024-10-25 21:06
22K
brltty-lang-6.7-r0.apk
2024-10-25 21:06
149K
brltty-doc-6.7-r0.apk
2024-10-25 21:06
9.4K
brltty-dev-6.7-r0.apk
2024-10-25 21:06
140K
brltty-6.7-r0.apk
2024-10-25 21:06
1.9M
brial-dev-1.2.11-r4.apk
2024-10-25 21:06
1.7M
brial-1.2.11-r4.apk
2024-10-25 21:06
1.0M
boxes-doc-2.3.1-r0.apk
2024-10-25 21:06
7.1K
boxes-2.3.1-r0.apk
2024-10-25 21:06
71K
boxed-cpp-doc-1.4.3-r0.apk
2024-10-25 21:06
5.4K
boxed-cpp-dev-1.4.3-r0.apk
2024-10-25 21:06
6.2K
boxed-cpp-1.4.3-r0.apk
2024-10-25 21:06
1.2K
boson-0_git20211219-r0.apk
2024-10-25 21:06
15K
bore-0.5.2-r0.apk
2024-12-15 20:18
492K
bootterm-dbg-0.5-r0.apk
2024-10-25 21:06
2.3K
bootterm-0.5-r0.apk
2024-10-25 21:06
17K
bootloose-0.7.1-r8.apk
2025-02-10 04:38
2.1M
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 21:06
8.2K
bootinfo-0.1.0-r4.apk
2024-10-25 21:06
19K
bootchart2-0.14.9-r0.apk
2025-01-03 11:13
135K
bonzomatic-20230615-r0.apk
2024-10-25 21:06
587K
bomctl-zsh-completion-0.1.9-r3.apk
2025-02-10 04:38
4.0K
bomctl-fish-completion-0.1.9-r3.apk
2025-02-10 04:38
4.3K
bomctl-bash-completion-0.1.9-r3.apk
2025-02-10 04:38
5.2K
bomctl-0.1.9-r3.apk
2025-02-10 04:38
8.7M
boinc-screensaver-7.24.3-r0.apk
2024-10-25 21:06
119K
boinc-libs-7.24.3-r0.apk
2024-10-25 21:06
198K
boinc-lang-7.24.3-r0.apk
2024-10-25 21:06
877K
boinc-gui-7.24.3-r0.apk
2024-10-25 21:06
1.0M
boinc-doc-7.24.3-r0.apk
2024-10-25 21:05
8.0K
boinc-dev-7.24.3-r0.apk
2024-10-25 21:05
578K
boinc-7.24.3-r0.apk
2024-10-25 21:05
1.5M
bochs-doc-2.8-r1.apk
2025-02-22 13:17
139K
bochs-2.8-r1.apk
2025-02-22 13:17
888K
bobcat-doc-4.09.00-r0.apk
2024-10-25 21:05
345K
bobcat-dev-4.09.00-r0.apk
2024-10-25 21:05
696K
bobcat-4.09.00-r0.apk
2024-10-25 21:05
640K
bliss-dev-0.77-r1.apk
2024-10-25 21:05
94K
bliss-0.77-r1.apk
2024-10-25 21:05
55K
blip-doc-0.10-r0.apk
2024-10-25 21:05
30K
blip-0.10-r0.apk
2024-10-25 21:05
15K
blackbox-1.20220610-r1.apk
2024-10-25 21:05
16K
bkt-doc-0.8.0-r0.apk
2024-10-25 21:05
7.3K
bkt-0.8.0-r0.apk
2024-10-25 21:05
313K
bitritter-0.1.1-r0.apk
2024-10-25 21:05
1.9M
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 21:05
41K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 21:05
50K
birdtray-1.9.0-r1.apk
2024-10-25 21:05
388K
bird3-openrc-3.0.0-r0.apk
2024-12-20 18:10
2.3K
bird3-dbg-3.0.0-r0.apk
2024-12-20 18:10
2.2M
bird3-3.0.0-r0.apk
2024-12-20 18:10
509K
bionic_translation-dev-0_git20240525-r0.apk
2024-10-25 21:05
1.7K
bionic_translation-dbg-0_git20240525-r0.apk
2024-10-25 21:05
124K
bionic_translation-0_git20240525-r0.apk
2024-10-25 21:05
40K
biometryd-dev-0.3.1-r7.apk
2025-02-22 13:17
13K
biometryd-0.3.1-r7.apk
2025-02-22 13:17
265K
bindfs-doc-1.17.7-r0.apk
2025-01-02 16:14
9.0K
bindfs-1.17.7-r0.apk
2025-01-02 16:14
20K
bgs-doc-0.8-r1.apk
2024-10-25 21:05
2.3K
bgs-0.8-r1.apk
2024-10-25 21:05
5.6K
bgpq4-doc-1.15-r0.apk
2024-10-25 21:05
6.3K
bgpq4-1.15-r0.apk
2024-10-25 21:05
31K
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 21:05
18M
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 21:05
1.7K
bestline-0.0_git20211108-r0.apk
2024-10-25 21:05
18K
berry-lang-1.1.0-r0.apk
2024-10-25 21:05
89K
belr-dev-5.3.100-r0.apk
2025-02-22 13:17
15K
belr-5.3.100-r0.apk
2025-02-22 13:17
98K
belle-sip-dev-5.3.100-r0.apk
2025-02-22 13:17
54K
belle-sip-5.3.100-r0.apk
2025-02-22 13:17
576K
belcard-libs-5.3.100-r0.apk
2025-02-22 13:17
188K
belcard-dev-5.3.100-r0.apk
2025-02-22 13:17
11K
belcard-5.3.100-r0.apk
2025-02-22 13:17
12K
bees-openrc-0.10-r2.apk
2024-10-25 21:05
1.9K
bees-0.10-r2.apk
2024-10-25 21:05
281K
beard-doc-0.4-r0.apk
2024-10-25 21:05
2.5K
beard-0.4-r0.apk
2024-10-25 21:05
3.1K
beancount-language-server-1.3.6-r0.apk
2024-11-06 00:38
1.1M
bdfr-2.6.2-r1.apk
2024-10-25 21:05
131K
bchunk-doc-1.2.2-r3.apk
2024-10-25 21:05
3.0K
bchunk-1.2.2-r3.apk
2024-10-25 21:05
7.0K
bcg729-dev-1.1.1-r0.apk
2024-10-25 21:05
3.5K
bcg729-1.1.1-r0.apk
2024-10-25 21:05
32K
batmon-0.0.1-r0.apk
2024-10-25 21:05
383K
base64c-dev-0.2.1-r0.apk
2024-10-25 21:05
5.0K
base64c-0.2.1-r0.apk
2024-10-25 21:05
4.2K
bartib-1.0.1-r1.apk
2024-10-25 21:05
312K
barrier-doc-2.4.0-r2.apk
2025-02-22 13:17
13K
barrier-2.4.0-r2.apk
2025-02-22 13:17
860K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 21:05
2.8K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 21:05
111K
barman-pyc-3.12.1-r0.apk
2024-12-31 17:20
543K
barman-doc-3.12.1-r0.apk
2024-12-31 17:20
77K
barman-bash-completion-3.12.1-r0.apk
2024-12-31 17:20
1.6K
barman-3.12.1-r0.apk
2024-12-31 17:20
341K
baresip-dev-3.19.0-r0.apk
2025-02-12 15:06
16K
baresip-3.19.0-r0.apk
2025-02-12 15:06
1.0M
bananui-shell-0.2.0-r0.apk
2024-10-25 21:05
87K
bananui-dev-2.0.0-r0.apk
2024-10-25 21:05
81K
bananui-demos-2.0.0-r0.apk
2024-10-25 21:05
7.9K
bananui-dbg-2.0.0-r0.apk
2024-10-25 21:05
173K
bananui-daemons-0.1.0-r0.apk
2024-10-25 21:05
37K
bananui-clock-0.1.0-r0.apk
2024-10-25 21:05
6.6K
bananui-2.0.0-r0.apk
2024-10-25 21:05
48K
bakelite-0.4.2-r0.apk
2024-10-25 21:05
36K
bake-2.5.1-r0.apk
2024-10-25 21:05
98K
baikal-sqlite-0.10.1-r0.apk
2024-11-10 18:06
1.4K
baikal-pgsql-0.10.1-r0.apk
2024-11-10 18:06
1.2K
baikal-mysql-0.10.1-r0.apk
2024-11-10 18:06
1.2K
baikal-0.10.1-r0.apk
2024-11-10 18:06
1.2M
backup-manager-0.7.15-r1.apk
2024-10-25 21:05
55K
b2sum-doc-20190729-r2.apk
2024-10-25 21:05
2.7K
b2sum-20190729-r2.apk
2024-10-25 21:05
15K
b2-tools-pyc-4.2.0-r0.apk
2025-01-12 14:27
135K
b2-tools-4.2.0-r0.apk
2025-01-12 14:27
72K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 21:05
737K
azpainter-doc-3.0.11-r0.apk
2025-02-22 13:34
42K
azpainter-3.0.11-r0.apk
2025-02-22 13:34
653K
azote-pyc-1.14.0-r0.apk
2024-12-14 21:38
98K
azote-1.14.0-r0.apk
2024-12-14 21:38
7.6M
avra-dev-1.4.2-r0.apk
2024-10-25 21:05
255K
avra-1.4.2-r0.apk
2024-10-25 21:05
34K
avarice-doc-2.14-r4.apk
2024-10-25 21:05
9.4K
avarice-2.14-r4.apk
2024-10-25 21:05
76K
avara-0.7.1-r1.apk
2024-11-04 09:52
21M
avahi2dns-openrc-0.0.1_git20240102-r4.apk
2025-02-10 04:38
1.7K
avahi2dns-0.0.1_git20240102-r4.apk
2025-02-10 04:38
2.2M
autotrash-pyc-0.4.7-r0.apk
2024-10-25 21:05
14K
autotrash-0.4.7-r0.apk
2024-10-25 21:05
23K
autoscan-openrc-1.4.0-r8.apk
2025-02-10 04:38
2.0K
autoscan-1.4.0-r8.apk
2025-02-10 04:38
4.9M
autorestic-1.8.3-r2.apk
2025-02-10 04:38
3.4M
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 21:05
54K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 21:05
12K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 21:05
35K
autoconf-policy-0.1-r0.apk
2024-10-25 21:05
5.5K
authenticator-rs-lang-0.7.5-r0.apk
2024-10-25 21:05
3.7K
authenticator-rs-0.7.5-r0.apk
2024-10-25 21:05
1.8M
aufs-util-doc-20161219-r3.apk
2024-10-25 21:05
34K
aufs-util-dev-20161219-r3.apk
2024-10-25 21:05
1.5K
aufs-util-20161219-r3.apk
2024-10-25 21:05
159K
ats2-0.4.2-r0.apk
2024-10-25 21:05
1.6M
atools-go-doc-0.3.0-r1.apk
2025-02-10 04:38
2.2K
atools-go-0.3.0-r1.apk
2025-02-10 04:38
1.0M
atool-doc-0.39.0-r4.apk
2024-10-25 21:05
9.6K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 21:05
2.0K
atool-0.39.0-r4.apk
2024-10-25 21:05
18K
atomicparsley-20240608-r0.apk
2024-10-25 21:05
107K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 21:05
69K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 21:05
79K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 21:05
354K
atac-0.18.1-r0.apk
2024-11-25 22:43
4.3M
asymptote-doc-2.91-r0.apk
2024-10-25 21:05
2.8M
asymptote-2.91-r0.apk
2024-10-25 21:05
1.3M
aspnetcore6-targeting-pack-6.0.36-r1.apk
2024-11-25 06:19
2.0M
aspnetcore6-runtime-6.0.36-r1.apk
2024-11-25 06:19
8.6M
aspell-es-1.11-r0.apk
2024-10-25 21:05
533K
asdf-doc-0.16.4-r0.apk
2025-02-22 20:22
2.2K
asdf-0.16.4-r0.apk
2025-02-22 20:22
4.4M
art_standalone-dev-0_git20250115-r0.apk
2025-01-16 10:05
8.6M
art_standalone-dbg-0_git20250115-r0.apk
2025-01-16 10:05
134M
art_standalone-0_git20250115-r0.apk
2025-01-16 10:05
17M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 21:05
92K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 21:05
1.5M
arc-xfwm-20221218-r0.apk
2024-10-25 21:05
7.7K
arc-theme-20221218-r0.apk
2024-10-25 21:05
1.4K
arc-metacity-20221218-r0.apk
2024-10-25 21:05
17K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 21:05
7.7K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 21:05
17K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 21:05
113K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 21:05
125K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 21:05
38K
arc-lighter-20221218-r0.apk
2024-10-25 21:05
1.8K
arc-icon-theme-20161122-r0.apk
2024-10-25 21:05
4.4M
arc-gtk4-20221218-r0.apk
2024-10-25 21:05
114K
arc-gtk3-20221218-r0.apk
2024-10-25 21:05
126K
arc-gtk2-20221218-r0.apk
2024-10-25 21:05
38K
arc-gnome-20221218-r0.apk
2024-10-25 21:05
29K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 21:05
7.9K
arc-darker-metacity-20221218-r0.apk
2024-10-25 21:05
17K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 21:05
110K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 21:05
124K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 21:05
39K
arc-darker-20221218-r0.apk
2024-10-25 21:05
1.8K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 21:05
7.9K
arc-dark-metacity-20221218-r0.apk
2024-10-25 21:05
17K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 21:05
86K
arc-dark-gtk3-20221218-r0.apk
2024-10-25 21:05
93K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 21:05
38K
arc-dark-gnome-20221218-r0.apk
2024-10-25 21:05
27K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 21:05
68K
arc-dark-20221218-r0.apk
2024-10-25 21:05
1.8K
arc-cinnamon-20221218-r0.apk
2024-10-25 21:05
68K
arc-20221218-r0.apk
2024-10-25 21:05
1.7K
aqemu-doc-0.9.4-r3.apk
2024-10-25 21:05
7.5K
aqemu-0.9.4-r3.apk
2024-10-25 21:05
1.5M
apulse-doc-0.1.13-r2.apk
2024-10-25 21:05
2.8K
apulse-0.1.13-r2.apk
2024-10-25 21:05
33K
aptdec-libs-1.8.0-r1.apk
2025-02-09 00:44
13K
aptdec-dev-1.8.0-r1.apk
2025-02-09 00:44
3.4K
aptdec-1.8.0-r1.apk
2025-02-09 00:44
84K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 21:05
4.6K
apt-mirror-0.5.4-r0.apk
2024-10-25 21:05
9.4K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 21:05
13K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 21:05
9.9K
apt-dater-1.0.4-r4.apk
2024-10-25 21:05
53K
aprilsh-server-0.7.12-r2.apk
2025-02-10 04:38
2.3M
aprilsh-openrc-0.7.12-r2.apk
2025-02-10 04:38
1.8K
aprilsh-doc-0.7.12-r2.apk
2025-02-10 04:38
14K
aprilsh-client-0.7.12-r2.apk
2025-02-10 04:38
3.0M
aprilsh-0.7.12-r2.apk
2025-02-10 04:38
1.6K
appcenter-lang-8.0.0-r0.apk
2024-11-12 22:55
258K
appcenter-8.0.0-r0.apk
2024-11-12 22:55
382K
aports-glmr-0.2-r25.apk
2025-02-10 04:38
2.3M
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 21:05
2.4K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 21:05
2.0K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 21:05
3.0K
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 21:05
2.2K
apmpkg-1.5.1-r3.apk
2024-10-25 21:05
1.4M
apk-tools3-static-3.0.0_rc4-r3.apk
2025-02-22 13:17
1.8M
apk-tools3-doc-3.0.0_rc4-r3.apk
2025-02-22 13:17
40K
apk-tools3-dev-3.0.0_rc4-r3.apk
2025-02-22 13:17
23K
apk-tools3-dbg-3.0.0_rc4-r3.apk
2025-02-22 13:17
632K
apk-tools3-3.0.0_rc4-r3.apk
2025-02-22 13:17
47K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 21:05
20K
apk-snap-3.1.1-r0.apk
2024-10-25 21:05
6.6K
apk-readme-0.1-r1.apk
2024-10-25 21:05
1.3K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-20 03:43
7.0K
apk-autoupdate-0_git20210421-r1.apk
2024-11-20 03:43
13K
apache2-mod-realdoc-1-r1.apk
2024-10-25 21:05
4.6K
apache2-mod-perl-doc-2.0.13-r1.apk
2024-10-25 21:05
303K
apache2-mod-perl-dev-2.0.13-r1.apk
2024-10-25 21:05
40K
apache2-mod-perl-dbg-2.0.13-r1.apk
2024-10-25 21:05
79K
apache2-mod-perl-2.0.13-r1.apk
2024-10-25 21:05
628K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 21:05
10K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 21:05
6.9K
apache-mod-auth-openidc-static-2.4.16.4-r0.apk
2024-10-25 21:05
269K
apache-mod-auth-openidc-doc-2.4.16.4-r0.apk
2024-10-25 21:05
4.8K
apache-mod-auth-openidc-2.4.16.4-r0.apk
2024-10-25 21:05
208K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 21:05
51K
aoetools-doc-37-r2.apk
2025-01-14 14:44
14K
aoetools-37-r2.apk
2025-01-14 14:44
19K
antimicrox-doc-3.4.0-r0.apk
2024-10-25 21:05
23K
antimicrox-3.4.0-r0.apk
2024-10-25 21:05
1.6M
antibody-6.1.1-r25.apk
2025-02-10 04:38
1.7M
ansiweather-doc-1.19.0-r1.apk
2024-10-25 21:05
3.0K
ansiweather-1.19.0-r1.apk
2024-10-25 21:05
4.7K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 21:05
65K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 21:05
10K
ansible-bender-0.10.1-r2.apk
2024-10-25 21:05
36K
angband-4.2.5-r0.apk
2024-10-25 21:05
23M
android-translation-layer-dbg-0_git20250114-r0.apk
2025-01-16 10:05
756K
android-translation-layer-0_git20250114-r0.apk
2025-01-16 10:05
2.6M
android-file-transfer-libs-4.3-r1.apk
2025-01-26 20:29
114K
android-file-transfer-dev-4.3-r1.apk
2025-01-26 20:29
1.5K
android-file-transfer-cli-4.3-r1.apk
2025-01-26 20:29
97K
android-file-transfer-4.3-r1.apk
2025-01-26 20:29
174K
android-apkeep-0.17.0-r0.apk
2024-10-25 21:05
1.7M
anari-sdk-static-0.7.2-r0.apk
2024-10-25 21:05
193K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 21:05
59K
anari-sdk-0.7.2-r0.apk
2024-10-25 21:05
280K
anarch-doc-1.0-r1.apk
2024-10-25 21:05
18K
anarch-1.0-r1.apk
2024-10-25 21:05
90K
amule-lang-2.3.3-r13.apk
2024-10-25 21:05
1.6M
amule-doc-2.3.3-r13.apk
2024-10-25 21:05
281K
amule-2.3.3-r13.apk
2024-10-25 21:05
3.8M
ampy-pyc-1.1.0-r5.apk
2024-10-25 21:05
20K
ampy-doc-1.1.0-r5.apk
2024-10-25 21:05
3.4K
ampy-1.1.0-r5.apk
2024-10-25 21:05
16K
amiitool-2-r2.apk
2024-10-25 21:05
6.3K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 21:05
9.6K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 21:05
14K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 18:00
6.7K
amber-mpris-1.2.9-r0.apk
2024-12-22 18:00
183K
amber-0.3.3-r0.apk
2024-10-25 21:05
357K
alttab-doc-1.7.1-r0.apk
2024-10-25 21:05
10K
alttab-1.7.1-r0.apk
2024-10-25 21:05
35K
alps-openrc-0_git20230807-r9.apk
2025-02-10 04:38
2.0K
alps-0_git20230807-r9.apk
2025-02-10 04:38
5.1M
alpine-lift-0.2.0-r20.apk
2025-02-10 04:38
3.3M
alarmwakeup-utils-0.2.1-r0.apk
2024-10-25 21:05
3.7K
alarmwakeup-libs-0.2.1-r0.apk
2024-10-25 21:05
3.9K
alarmwakeup-dev-0.2.1-r0.apk
2024-10-25 21:05
2.5K
alarmwakeup-dbg-0.2.1-r0.apk
2024-10-25 21:05
19K
alarmwakeup-0.2.1-r0.apk
2024-10-25 21:05
6.3K
ajv-cli-doc-6.0.0-r0.apk
2025-02-22 13:17
2.2K
ajv-cli-6.0.0-r0.apk
2025-02-22 13:17
158K
aide-doc-0.18.8-r0.apk
2024-10-25 21:05
14K
aide-0.18.8-r0.apk
2024-10-25 21:05
72K
agrep-doc-0.8.0-r2.apk
2024-10-25 21:05
4.1K
agrep-0.8.0-r2.apk
2024-10-25 21:05
7.8K
agate-openrc-3.3.8-r0.apk
2024-10-25 21:05
2.0K
agate-3.3.8-r0.apk
2024-10-25 21:05
673K
afetch-doc-2.2.0-r1.apk
2024-10-25 21:05
14K
afetch-2.2.0-r1.apk
2024-10-25 21:05
9.2K
advancescan-doc-1.18-r1.apk
2024-10-25 21:05
7.3K
advancescan-1.18-r1.apk
2024-10-25 21:05
223K
advancemame-mess-3.9-r4.apk
2024-10-25 21:05
3.6M
advancemame-menu-3.9-r4.apk
2024-10-25 21:05
876K
advancemame-doc-3.9-r4.apk
2024-10-25 21:05
374K
advancemame-data-3.9-r4.apk
2024-10-25 21:05
5.8M
advancemame-3.9-r4.apk
2024-10-25 21:05
12M
admesh-doc-0.98.5-r0.apk
2024-10-25 21:05
23K
admesh-dev-0.98.5-r0.apk
2024-10-25 21:05
4.0K
admesh-0.98.5-r0.apk
2024-10-25 21:05
24K
adjtimex-doc-1.29-r0.apk
2024-10-25 21:05
7.1K
adjtimex-1.29-r0.apk
2024-10-25 21:05
19K
adguardhome-openrc-0.107.57-r0.apk
2025-02-22 13:17
2.1K
adguardhome-0.107.57-r0.apk
2025-02-22 13:17
10M
adbd-0_git20250115-r0.apk
2025-01-16 10:05
34K
acmetool-doc-0.2.2-r10.apk
2025-02-10 04:38
47K
acmetool-0.2.2-r10.apk
2025-02-10 04:38
4.1M
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 21:05
49K
ace-of-penguins-1.4-r3.apk
2024-10-25 21:05
142K
abuild-muon-0.4.0-r0.apk
2025-02-12 15:42
1.8K
abi-dumper-1.4-r1.apk
2025-01-25 08:03
36K
abc-0_git20240102-r0.apk
2024-10-25 21:05
4.4M
a2jmidid-doc-9-r3.apk
2024-10-25 21:05
4.2K
a2jmidid-9-r3.apk
2024-10-25 21:05
25K
APKINDEX.tar.gz
2025-02-23 02:56
885K
66-tools-nsrules-0.1.1.0-r0.apk
2025-01-12 14:00
2.8K
66-tools-doc-0.1.1.0-r0.apk
2025-01-12 14:00
39K
66-tools-dev-0.1.1.0-r0.apk
2025-01-12 14:00
1.8K
66-tools-0.1.1.0-r0.apk
2025-01-12 14:00
56K
66-init-0.8.0.2-r0.apk
2025-02-11 23:31
1.5K
66-doc-0.8.0.2-r0.apk
2025-02-11 23:31
168K
66-dev-0.8.0.2-r0.apk
2025-02-11 23:31
479K
66-0.8.0.2-r0.apk
2025-02-11 23:31
299K
3proxy-openrc-0.9.4-r1.apk
2024-10-25 21:05
1.7K
3proxy-doc-0.9.4-r1.apk
2024-10-25 21:05
25K
3proxy-0.9.4-r1.apk
2024-10-25 21:05
295K
Apache/2.4.62 (Debian) Server at ftp.pl.vim.org Port 443