Index of /ftp/vol/rzm1/linux-alpine/edge/testing/x86_64
Name
Last modified
Size
Description
Parent Directory
-
3proxy-0.9.4-r2.apk
2025-04-04 08:07
342K
3proxy-doc-0.9.4-r2.apk
2025-04-04 08:07
25K
3proxy-openrc-0.9.4-r2.apk
2025-04-04 08:07
1.6K
9base-6-r2.apk
2024-10-25 20:59
1.8M
9base-doc-6-r2.apk
2024-10-25 20:59
64K
9base-troff-6-r2.apk
2024-10-25 20:59
485K
66-0.8.0.2-r0.apk
2025-02-22 15:38
255K
66-dev-0.8.0.2-r0.apk
2025-02-22 15:38
424K
66-doc-0.8.0.2-r0.apk
2025-02-22 15:38
168K
66-init-0.8.0.2-r0.apk
2025-02-22 15:38
1.5K
66-tools-0.1.1.0-r0.apk
2025-01-12 14:59
56K
66-tools-dev-0.1.1.0-r0.apk
2025-01-12 14:59
1.8K
66-tools-doc-0.1.1.0-r0.apk
2025-01-12 14:59
39K
66-tools-nsrules-0.1.1.0-r0.apk
2025-01-12 14:59
2.8K
APKINDEX.tar.gz
2025-04-22 16:45
1.0M
a2jmidid-9-r3.apk
2024-10-25 20:59
29K
a2jmidid-doc-9-r3.apk
2024-10-25 20:59
4.2K
ab-tidy-0.1.0-r2.apk
2025-02-23 21:55
80K
abc-0_git20240102-r0.apk
2024-10-25 20:59
4.9M
ace-of-penguins-1.4-r3.apk
2024-10-25 20:59
159K
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 20:59
49K
acmetool-0.2.2-r12.apk
2025-04-13 23:31
4.7M
acmetool-doc-0.2.2-r12.apk
2025-04-13 23:31
47K
adbd-0_git20250325-r0.apk
2025-04-03 02:11
41K
adguardhome-0.107.60-r0.apk
2025-04-16 00:37
11M
adguardhome-openrc-0.107.60-r0.apk
2025-04-16 00:37
2.2K
adjtimex-1.29-r0.apk
2024-10-25 20:59
19K
adjtimex-doc-1.29-r0.apk
2024-10-25 20:59
7.1K
admesh-0.98.5-r0.apk
2024-10-25 20:59
26K
admesh-dev-0.98.5-r0.apk
2024-10-25 20:59
4.0K
admesh-doc-0.98.5-r0.apk
2024-10-25 20:59
23K
advancemame-3.9-r4.apk
2024-10-25 20:59
12M
advancemame-data-3.9-r4.apk
2024-10-25 20:59
5.8M
advancemame-doc-3.9-r4.apk
2024-10-25 20:59
374K
advancemame-menu-3.9-r4.apk
2024-10-25 20:59
903K
advancemame-mess-3.9-r4.apk
2024-10-25 20:59
3.9M
advancescan-1.18-r1.apk
2024-10-25 20:59
268K
advancescan-doc-1.18-r1.apk
2024-10-25 20:59
7.3K
afetch-2.2.0-r1.apk
2024-10-25 20:59
9.0K
afetch-doc-2.2.0-r1.apk
2024-10-25 20:59
14K
agate-3.3.8-r0.apk
2024-10-25 20:59
915K
agate-openrc-3.3.8-r0.apk
2024-10-25 20:59
2.0K
agrep-0.8.0-r2.apk
2024-10-25 20:59
8.3K
agrep-doc-0.8.0-r2.apk
2024-10-25 20:59
4.1K
aide-0.18.8-r0.apk
2024-10-25 20:59
79K
aide-doc-0.18.8-r0.apk
2024-10-25 20:59
14K
airsonic-advanced-11.0.0_git20230217-r0.apk
2024-10-25 20:59
91M
airsonic-advanced-openrc-11.0.0_git20230217-r0.apk
2024-10-25 20:59
1.8K
ajv-cli-6.0.0-r0.apk
2025-02-22 15:38
158K
ajv-cli-doc-6.0.0-r0.apk
2025-02-22 15:38
2.2K
alarmwakeup-0.2.1-r0.apk
2024-10-25 20:59
6.4K
alarmwakeup-dbg-0.2.1-r0.apk
2024-10-25 20:59
18K
alarmwakeup-dev-0.2.1-r0.apk
2024-10-25 20:59
2.6K
alarmwakeup-libs-0.2.1-r0.apk
2024-10-25 20:59
4.5K
alarmwakeup-utils-0.2.1-r0.apk
2024-10-25 20:59
4.0K
alda-2.3.1-r4.apk
2025-04-13 23:31
18M
alpine-lift-0.2.0-r22.apk
2025-04-13 23:31
3.8M
alps-0_git20230807-r11.apk
2025-04-13 23:31
5.7M
alps-openrc-0_git20230807-r11.apk
2025-04-13 23:31
2.0K
alttab-1.7.1-r0.apk
2024-10-25 20:59
37K
alttab-doc-1.7.1-r0.apk
2024-10-25 20:59
10K
amber-0.3.3-r0.apk
2024-10-25 20:59
414K
amber-mpris-1.2.9-r0.apk
2024-12-22 17:47
211K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 17:47
6.7K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 20:59
14K
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 20:59
9.6K
amiitool-2-r2.apk
2024-10-25 20:59
7.8K
ampy-1.1.0-r6.apk
2025-03-21 17:20
15K
ampy-doc-1.1.0-r6.apk
2025-03-21 17:20
4.1K
ampy-pyc-1.1.0-r6.apk
2025-03-21 17:20
19K
amule-2.3.3-r13.apk
2024-10-25 20:59
3.9M
amule-doc-2.3.3-r13.apk
2024-10-25 20:59
281K
amule-lang-2.3.3-r13.apk
2024-10-25 20:59
1.6M
anarch-1.0-r1.apk
2024-10-25 20:59
92K
anarch-doc-1.0-r1.apk
2024-10-25 20:59
18K
anari-sdk-0.7.2-r0.apk
2024-10-25 20:59
289K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 20:59
59K
anari-sdk-static-0.7.2-r0.apk
2024-10-25 20:59
181K
android-apkeep-0.17.0-r0.apk
2024-10-25 20:59
2.0M
android-apktool-2.11.1-r0.apk
2025-04-09 04:09
23M
android-file-transfer-4.3-r1.apk
2025-01-26 21:44
182K
android-file-transfer-cli-4.3-r1.apk
2025-01-26 21:44
108K
android-file-transfer-dev-4.3-r1.apk
2025-01-26 21:44
1.5K
android-file-transfer-libs-4.3-r1.apk
2025-01-26 21:44
124K
android-translation-layer-0_git20250402-r0.apk
2025-04-03 02:11
2.7M
android-translation-layer-dbg-0_git20250402-r0.apk
2025-04-03 02:11
806K
angband-4.2.5-r0.apk
2024-10-25 20:59
23M
ansible-bender-0.10.1-r2.apk
2024-10-25 20:59
36K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 20:59
10K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 20:59
65K
ansiweather-1.19.0-r1.apk
2024-10-25 20:59
4.7K
ansiweather-doc-1.19.0-r1.apk
2024-10-25 20:59
3.0K
antibody-6.1.1-r27.apk
2025-04-13 23:31
1.9M
antimicrox-3.4.0-r0.apk
2024-10-25 20:59
1.6M
antimicrox-doc-3.4.0-r0.apk
2024-10-25 20:59
23K
anubis-1.16.0-r0.apk
2025-04-20 01:28
4.3M
anubis-doc-1.16.0-r0.apk
2025-04-20 01:28
2.3K
aoetools-37-r2.apk
2025-01-14 16:29
21K
aoetools-doc-37-r2.apk
2025-01-14 16:29
14K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 20:59
60K
apache-mod-auth-openidc-2.4.16.11-r0.apk
2025-04-20 07:14
223K
apache-mod-auth-openidc-doc-2.4.16.11-r0.apk
2025-04-20 07:14
4.4K
apache-mod-auth-openidc-static-2.4.16.11-r0.apk
2025-04-20 07:14
290K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 20:59
8.2K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 20:59
10K
apache2-mod-perl-2.0.13-r1.apk
2024-10-25 20:59
665K
apache2-mod-perl-dbg-2.0.13-r1.apk
2024-10-25 20:59
63K
apache2-mod-perl-dev-2.0.13-r1.apk
2024-10-25 20:59
40K
apache2-mod-perl-doc-2.0.13-r1.apk
2024-10-25 20:59
303K
apache2-mod-realdoc-1-r1.apk
2024-10-25 20:59
4.6K
apk-autoupdate-0_git20210421-r1.apk
2024-11-17 13:51
13K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-17 13:51
7.0K
apk-snap-3.1.1-r0.apk
2024-10-25 20:59
6.6K
apk-snap-doc-3.1.1-r0.apk
2024-10-25 20:59
20K
apk-tools3-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
52K
apk-tools3-dbg-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
659K
apk-tools3-dev-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
24K
apk-tools3-doc-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
44K
apk-tools3-static-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
2.2M
apmpkg-1.5.1-r3.apk
2024-10-25 20:59
1.6M
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 20:59
2.2K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 20:59
3.0K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 20:59
2.1K
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 20:59
2.4K
aports-glmr-0.2-r27.apk
2025-04-13 23:31
2.7M
apostrophe-3.2-r0.apk
2024-10-25 20:59
162K
apostrophe-lang-3.2-r0.apk
2024-10-25 20:59
198K
apostrophe-pyc-3.2-r0.apk
2024-10-25 20:59
134K
apostrophe-revealjs-5.1.0-r0.apk
2024-10-25 20:59
2.4M
appcenter-8.0.0-r0.apk
2024-11-12 22:55
406K
appcenter-lang-8.0.0-r0.apk
2024-11-12 22:55
258K
aprilsh-0.7.12-r4.apk
2025-04-13 23:31
1.6K
aprilsh-client-0.7.12-r4.apk
2025-04-13 23:31
3.3M
aprilsh-doc-0.7.12-r4.apk
2025-04-13 23:31
14K
aprilsh-openrc-0.7.12-r4.apk
2025-04-13 23:31
1.8K
aprilsh-server-0.7.12-r4.apk
2025-04-13 23:31
2.5M
apt-dater-1.0.4-r4.apk
2024-10-25 20:59
57K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 20:59
9.9K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 20:59
13K
apt-mirror-0.5.4-r0.apk
2024-10-25 20:59
9.4K
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 20:59
4.6K
aptdec-1.8.0-r1.apk
2025-02-09 00:48
85K
aptdec-dev-1.8.0-r1.apk
2025-02-09 00:48
3.4K
aptdec-libs-1.8.0-r1.apk
2025-02-09 00:48
15K
apulse-0.1.13-r2.apk
2024-10-25 20:59
40K
apulse-doc-0.1.13-r2.apk
2024-10-25 20:59
2.8K
apx-2.4.4-r5.apk
2025-04-13 23:31
3.6M
apx-doc-2.4.4-r5.apk
2025-04-13 23:31
2.4K
aqemu-0.9.4-r3.apk
2024-10-25 20:59
1.6M
aqemu-doc-0.9.4-r3.apk
2024-10-25 20:59
7.5K
aravis-0.8.31-r0.apk
2024-10-25 20:59
44K
aravis-dev-0.8.31-r0.apk
2024-10-25 20:59
34K
aravis-libs-0.8.31-r0.apk
2024-10-25 20:59
175K
aravis-viewer-0.8.31-r0.apk
2024-10-25 20:59
66K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 20:59
16K
arc-20221218-r0.apk
2024-10-25 20:59
1.7K
arc-cinnamon-20221218-r0.apk
2024-10-25 20:59
68K
arc-dark-20221218-r0.apk
2024-10-25 20:59
1.8K
arc-dark-cinnamon-20221218-r0.apk
2024-10-25 20:59
68K
arc-dark-gnome-20221218-r0.apk
2024-10-25 20:59
27K
arc-dark-gtk2-20221218-r0.apk
2024-10-25 20:59
38K
arc-dark-gtk3-20221218-r0.apk
2024-10-25 20:59
93K
arc-dark-gtk4-20221218-r0.apk
2024-10-25 20:59
86K
arc-dark-metacity-20221218-r0.apk
2024-10-25 20:59
17K
arc-dark-xfwm-20221218-r0.apk
2024-10-25 20:59
7.9K
arc-darker-20221218-r0.apk
2024-10-25 20:59
1.8K
arc-darker-gtk2-20221218-r0.apk
2024-10-25 20:59
39K
arc-darker-gtk3-20221218-r0.apk
2024-10-25 20:59
124K
arc-darker-gtk4-20221218-r0.apk
2024-10-25 20:59
110K
arc-darker-metacity-20221218-r0.apk
2024-10-25 20:59
17K
arc-darker-xfwm-20221218-r0.apk
2024-10-25 20:59
7.9K
arc-gnome-20221218-r0.apk
2024-10-25 20:59
29K
arc-gtk2-20221218-r0.apk
2024-10-25 20:59
38K
arc-gtk3-20221218-r0.apk
2024-10-25 20:59
126K
arc-gtk4-20221218-r0.apk
2024-10-25 20:59
114K
arc-icon-theme-20161122-r0.apk
2024-10-25 20:59
4.4M
arc-lighter-20221218-r0.apk
2024-10-25 20:59
1.8K
arc-lighter-gtk2-20221218-r0.apk
2024-10-25 20:59
38K
arc-lighter-gtk3-20221218-r0.apk
2024-10-25 20:59
125K
arc-lighter-gtk4-20221218-r0.apk
2024-10-25 20:59
113K
arc-lighter-metacity-20221218-r0.apk
2024-10-25 20:59
17K
arc-lighter-xfwm-20221218-r0.apk
2024-10-25 20:59
7.7K
arc-metacity-20221218-r0.apk
2024-10-25 20:59
17K
arc-theme-20221218-r0.apk
2024-10-25 20:59
1.4K
arc-xfwm-20221218-r0.apk
2024-10-25 20:59
7.7K
argocd-2.14.9-r0.apk
2025-04-20 22:44
39M
argocd-bash-completion-2.14.9-r0.apk
2025-04-20 22:44
21K
argocd-doc-2.14.9-r0.apk
2025-04-20 22:44
5.5K
argocd-zsh-completion-2.14.9-r0.apk
2025-04-20 22:44
4.0K
arj-0_git20220125-r1.apk
2024-10-25 20:59
127K
arj-doc-0_git20220125-r1.apk
2024-10-25 20:59
10K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 20:59
1.5M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 20:59
92K
art_standalone-0_git20250325-r0.apk
2025-04-03 02:11
18M
art_standalone-dbg-0_git20250325-r0.apk
2025-04-03 02:11
142M
art_standalone-dev-0_git20250325-r0.apk
2025-04-03 02:11
8.6M
asciinema-rs-0.5.1-r3.apk
2024-10-25 20:59
1.1M
asdf-0.16.7-r1.apk
2025-04-13 23:31
4.9M
asdf-doc-0.16.7-r1.apk
2025-04-13 23:31
2.2K
aspell-es-1.11-r0.apk
2024-10-25 20:59
533K
aspnetcore6-runtime-6.0.36-r1.apk
2024-11-25 05:49
8.7M
aspnetcore6-targeting-pack-6.0.36-r1.apk
2024-11-25 05:49
2.0M
asymptote-2.91-r0.apk
2024-10-25 20:59
1.4M
asymptote-doc-2.91-r0.apk
2024-10-25 20:59
2.8M
atac-0.18.1-r0.apk
2024-11-25 22:56
4.9M
atari800-5.2.0-r0.apk
2024-10-25 20:59
339K
atari800-doc-5.2.0-r0.apk
2024-10-25 20:59
56K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 20:59
379K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 20:59
79K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 20:59
69K
atomicparsley-20240608-r0.apk
2024-10-25 20:59
108K
atool-0.39.0-r4.apk
2024-10-25 20:59
18K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 20:59
2.0K
atool-doc-0.39.0-r4.apk
2024-10-25 20:59
9.6K
ats2-0.4.2-r0.apk
2024-10-25 20:59
1.7M
aufs-util-20161219-r3.apk
2024-10-25 20:59
176K
aufs-util-dev-20161219-r3.apk
2024-10-25 20:59
1.5K
aufs-util-doc-20161219-r3.apk
2024-10-25 20:59
34K
authenticator-rs-0.7.5-r0.apk
2024-10-25 20:59
1.9M
authenticator-rs-lang-0.7.5-r0.apk
2024-10-25 20:59
3.7K
autoconf-policy-0.1-r0.apk
2024-10-25 20:59
5.5K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 20:59
35K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 20:59
12K
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 20:59
54K
autorestic-1.8.3-r4.apk
2025-04-13 23:31
3.9M
autoscan-1.4.0-r10.apk
2025-04-13 23:31
5.5M
autoscan-openrc-1.4.0-r10.apk
2025-04-13 23:31
2.1K
autotrash-0.4.7-r0.apk
2024-10-25 20:59
23K
autotrash-pyc-0.4.7-r0.apk
2024-10-25 20:59
14K
avahi2dns-0.0.1_git20240102-r6.apk
2025-04-13 23:31
2.5M
avahi2dns-openrc-0.0.1_git20240102-r6.apk
2025-04-13 23:31
1.8K
avara-0.7.1-r1.apk
2024-11-04 09:53
21M
avarice-2.14-r4.apk
2024-10-25 20:59
65K
avarice-doc-2.14-r4.apk
2024-10-25 20:59
9.4K
avida-0_git20190813-r1.apk
2024-10-25 20:59
1.9M
avra-1.4.2-r0.apk
2024-10-25 20:59
39K
avra-dev-1.4.2-r0.apk
2024-10-25 20:59
255K
aws-lc-1.49.1-r1.apk
2025-04-13 23:31
1.5M
aws-lc-dev-1.49.1-r1.apk
2025-04-13 23:31
412K
aws-lc-tools-1.49.1-r1.apk
2025-04-13 23:31
126K
azote-1.14.0-r0.apk
2024-12-14 22:22
7.6M
azote-pyc-1.14.0-r0.apk
2024-12-14 22:22
98K
azpainter-3.0.11-r0.apk
2025-02-22 16:08
802K
azpainter-doc-3.0.11-r0.apk
2025-02-22 16:08
42K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 20:59
780K
b2-tools-4.2.0-r0.apk
2025-01-12 14:59
72K
b2-tools-pyc-4.2.0-r0.apk
2025-01-12 14:59
135K
b2sum-20190729-r2.apk
2024-10-25 20:59
15K
b2sum-doc-20190729-r2.apk
2024-10-25 20:59
2.7K
babashka-1.3.188-r0.apk
2024-10-25 20:59
23M
backup-manager-0.7.15-r1.apk
2024-10-25 20:59
55K
baikal-0.10.1-r0.apk
2024-11-10 18:58
1.2M
baikal-mysql-0.10.1-r0.apk
2024-11-10 18:58
1.2K
baikal-pgsql-0.10.1-r0.apk
2024-11-10 18:58
1.2K
baikal-sqlite-0.10.1-r0.apk
2024-11-10 18:58
1.4K
bake-2.5.1-r0.apk
2024-10-25 20:59
109K
bakelite-0.4.2-r0.apk
2024-10-25 20:59
34K
bananui-2.0.0-r0.apk
2024-10-25 20:59
54K
bananui-clock-0.1.0-r0.apk
2024-10-25 20:59
6.6K
bananui-daemons-0.1.0-r0.apk
2024-10-25 20:59
44K
bananui-dbg-2.0.0-r0.apk
2024-10-25 20:59
173K
bananui-demos-2.0.0-r0.apk
2024-10-25 20:59
8.5K
bananui-dev-2.0.0-r0.apk
2024-10-25 20:59
91K
bananui-shell-0.2.0-r0.apk
2024-10-25 20:59
103K
bankstown-lv2-1.1.0-r0.apk
2024-10-25 20:59
161K
baresip-3.20.0-r0.apk
2025-02-25 13:48
1.0M
baresip-dev-3.20.0-r0.apk
2025-02-25 13:48
16K
barman-3.13.0-r0.apk
2025-02-25 07:38
345K
barman-bash-completion-3.13.0-r0.apk
2025-02-25 07:38
1.6K
barman-doc-3.13.0-r0.apk
2025-02-25 07:38
80K
barman-pyc-3.13.0-r0.apk
2025-02-25 07:38
550K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 20:59
125K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 20:59
2.8K
barrier-2.4.0-r2.apk
2025-02-22 15:38
939K
barrier-doc-2.4.0-r2.apk
2025-02-22 15:38
13K
bartib-1.0.1-r1.apk
2024-10-25 20:59
354K
base64c-0.2.1-r0.apk
2024-10-25 20:59
4.2K
base64c-dev-0.2.1-r0.apk
2024-10-25 20:59
5.3K
batmon-0.0.1-r0.apk
2024-10-25 20:59
439K
bazel6-6.5.0-r0.apk
2024-10-25 20:59
30M
bazel6-bash-completion-6.5.0-r0.apk
2024-10-25 20:59
42K
bazel7-7.6.1-r1.apk
2025-04-18 07:47
30M
bazel7-bash-completion-7.6.1-r1.apk
2025-04-18 07:47
48K
bazel8-8.2.0-r0.apk
2025-04-16 01:48
34M
bazel8-bash-completion-8.2.0-r0.apk
2025-04-16 01:48
56K
bcg729-1.1.1-r0.apk
2024-10-25 20:59
33K
bcg729-dev-1.1.1-r0.apk
2024-10-25 20:59
3.5K
bchunk-1.2.2-r3.apk
2024-10-25 20:59
6.9K
bchunk-doc-1.2.2-r3.apk
2024-10-25 20:59
3.0K
bdfr-2.6.2-r1.apk
2024-10-25 20:59
131K
beancount-language-server-1.3.6-r0.apk
2024-11-06 00:46
1.3M
beard-0.4-r0.apk
2024-10-25 20:59
3.1K
beard-doc-0.4-r0.apk
2024-10-25 20:59
2.5K
bees-0.10-r2.apk
2024-10-25 20:59
290K
bees-openrc-0.10-r2.apk
2024-10-25 20:59
1.9K
belcard-5.3.105-r0.apk
2025-02-25 13:48
12K
belcard-dev-5.3.105-r0.apk
2025-02-25 13:48
11K
belcard-libs-5.3.105-r0.apk
2025-02-25 13:48
207K
belle-sip-5.3.105-r0.apk
2025-02-25 13:51
628K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 13:51
54K
belr-5.3.105-r0.apk
2025-02-25 13:52
107K
belr-dev-5.3.105-r0.apk
2025-02-25 13:52
15K
berry-lang-1.1.0-r0.apk
2024-10-25 20:59
113K
bestline-0.0_git20211108-r0.apk
2024-10-25 20:59
21K
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 20:59
1.7K
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 20:59
18M
beszel-0.10.2-r2.apk
2025-04-13 23:31
14M
beszel-agent-0.10.2-r2.apk
2025-04-13 23:31
3.3M
beszel-agent-openrc-0.10.2-r2.apk
2025-04-13 23:31
2.0K
beszel-openrc-0.10.2-r2.apk
2025-04-13 23:31
2.1K
bettercap-2.41.0-r0.apk
2025-04-13 23:31
19M
bettercap-doc-2.41.0-r0.apk
2025-04-13 23:31
14K
bgpq4-1.15-r0.apk
2024-10-25 20:59
33K
bgpq4-doc-1.15-r0.apk
2024-10-25 20:59
6.3K
bgs-0.8-r1.apk
2024-10-25 20:59
5.7K
bgs-doc-0.8-r1.apk
2024-10-25 20:59
2.3K
bim-3.1.0-r0.apk
2025-04-19 22:59
296K
bindfs-1.17.7-r0.apk
2025-01-02 16:16
21K
bindfs-doc-1.17.7-r0.apk
2025-01-02 16:16
9.0K
binwalk-3.1.0-r0.apk
2025-02-07 16:46
1.0M
biome-1.9.4-r1.apk
2024-10-27 19:06
5.3M
biometryd-0.3.1-r7.apk
2025-02-22 15:38
298K
biometryd-dev-0.3.1-r7.apk
2025-02-22 15:38
13K
bionic_translation-0_git20250324-r0.apk
2025-03-24 23:05
47K
bionic_translation-dbg-0_git20250324-r0.apk
2025-03-24 23:05
131K
bionic_translation-dev-0_git20250324-r0.apk
2025-03-24 23:05
1.7K
bird3-3.1.0-r0.apk
2025-04-13 23:31
564K
bird3-dbg-3.1.0-r0.apk
2025-04-13 23:31
2.2M
bird3-openrc-3.1.0-r0.apk
2025-04-13 23:31
2.4K
birdtray-1.9.0-r1.apk
2024-10-25 20:59
407K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 20:59
59K
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 20:59
47K
bitritter-0.1.1-r0.apk
2024-10-25 20:59
2.3M
bkt-0.8.0-r0.apk
2024-10-25 20:59
360K
bkt-doc-0.8.0-r0.apk
2024-10-25 20:59
7.3K
blackbox-1.20220610-r1.apk
2024-10-25 20:59
16K
blip-0.10-r0.apk
2024-10-25 20:59
15K
blip-doc-0.10-r0.apk
2024-10-25 20:59
32K
bliss-0.77-r1.apk
2024-10-25 20:59
69K
bliss-dev-0.77-r1.apk
2024-10-25 20:59
107K
boa-cli-0.20-r0.apk
2024-12-05 22:07
7.1M
bobcat-4.09.00-r0.apk
2024-10-25 20:59
656K
bobcat-dev-4.09.00-r0.apk
2024-10-25 20:59
643K
bobcat-doc-4.09.00-r0.apk
2024-10-25 20:59
345K
bochs-2.8-r1.apk
2025-02-22 15:38
920K
bochs-doc-2.8-r1.apk
2025-02-22 15:38
139K
boinc-7.24.3-r0.apk
2024-10-25 20:59
1.5M
boinc-dev-7.24.3-r0.apk
2024-10-25 20:59
583K
boinc-doc-7.24.3-r0.apk
2024-10-25 20:59
8.0K
boinc-gui-7.24.3-r0.apk
2024-10-25 20:59
1.0M
boinc-lang-7.24.3-r0.apk
2024-10-25 20:59
877K
boinc-libs-7.24.3-r0.apk
2024-10-25 20:59
202K
boinc-screensaver-7.24.3-r0.apk
2024-10-25 20:59
124K
bomctl-0.1.9-r5.apk
2025-04-13 23:31
9.6M
bomctl-bash-completion-0.1.9-r5.apk
2025-04-13 23:31
5.3K
bomctl-fish-completion-0.1.9-r5.apk
2025-04-13 23:31
4.3K
bomctl-zsh-completion-0.1.9-r5.apk
2025-04-13 23:31
4.1K
bonzomatic-20230615-r0.apk
2024-10-25 20:59
628K
bootchart2-0.14.9-r0.apk
2025-01-03 11:13
136K
bootinfo-0.1.0-r4.apk
2024-10-25 20:59
19K
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 20:59
8.2K
bootloose-0.7.1-r10.apk
2025-04-13 23:31
2.3M
bootterm-0.5-r0.apk
2024-10-25 20:59
18K
bootterm-dbg-0.5-r0.apk
2024-10-25 20:59
2.4K
bore-0.5.2-r0.apk
2024-12-15 20:29
535K
boson-0_git20211219-r0.apk
2024-10-25 20:59
17K
boxed-cpp-1.4.3-r0.apk
2024-10-25 20:59
1.2K
boxed-cpp-dev-1.4.3-r0.apk
2024-10-25 20:59
6.2K
boxed-cpp-doc-1.4.3-r0.apk
2024-10-25 20:59
5.4K
boxes-2.3.1-r0.apk
2024-10-25 20:59
77K
boxes-doc-2.3.1-r0.apk
2024-10-25 20:59
7.1K
brial-1.2.11-r4.apk
2024-10-25 20:59
1.0M
brial-dev-1.2.11-r4.apk
2024-10-25 20:59
1.6M
brltty-6.7-r1.apk
2025-04-03 02:11
2.1M
brltty-dev-6.7-r1.apk
2025-04-03 02:11
140K
brltty-doc-6.7-r1.apk
2025-04-03 02:11
9.4K
brltty-lang-6.7-r1.apk
2025-04-03 02:11
149K
brltty-static-6.7-r1.apk
2025-04-03 02:11
24K
btcd-0.24.0-r7.apk
2025-04-13 23:31
16M
btfs-2.24-r12.apk
2024-10-25 20:59
29K
btfs-doc-2.24-r12.apk
2024-10-25 20:59
2.4K
btpd-0.16-r2.apk
2024-10-25 20:59
80K
btpd-doc-0.16-r2.apk
2024-10-25 20:59
8.4K
buf-1.50.1-r1.apk
2025-04-13 23:31
14M
buf-bash-completion-1.50.1-r1.apk
2025-04-13 23:31
8.6K
buf-fish-completion-1.50.1-r1.apk
2025-04-13 23:31
4.3K
buf-protoc-plugins-1.50.1-r1.apk
2025-04-13 23:31
13M
buf-zsh-completion-1.50.1-r1.apk
2025-04-13 23:31
4.0K
build-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
1.6K
build-next-bfd-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
906K
build-next-gas-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
830K
build-next-overlay-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
3.0K
buildcache-0.28.9-r0.apk
2024-10-25 20:59
798K
bump2version-1.0.1-r6.apk
2024-10-25 20:59
21K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 20:59
29K
burp-3.1.4-r0.apk
2024-10-25 20:59
170K
burp-doc-3.1.4-r0.apk
2024-10-25 20:59
99K
burp-server-3.1.4-r0.apk
2024-10-25 20:59
36K
butane-0.22.0-r4.apk
2025-04-13 23:31
3.1M
bwrap-oci-0.2-r1.apk
2024-10-25 20:59
16K
bwrap-oci-doc-0.2-r1.apk
2024-10-25 20:59
2.5K
c2rust-0.20.0-r0.apk
2025-03-21 17:20
1.9M
cadence-0.9.2-r0.apk
2024-10-25 20:59
1.9M
caffeine-ng-4.2.0-r1.apk
2024-10-25 20:59
100K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 20:59
3.2K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 20:59
34K
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 20:59
25K
calibre-8.3.0-r0.apk
2025-04-19 19:07
40M
calibre-bash-completion-8.3.0-r0.apk
2025-04-19 19:07
5.3K
calibre-doc-8.3.0-r0.apk
2025-04-19 19:07
1.9M
calibre-pyc-8.3.0-r0.apk
2025-04-19 19:07
2.6K
calibre-zsh-completion-8.3.0-r0.apk
2025-04-19 19:07
45K
capnet-assist-8.0.0-r0.apk
2025-04-14 12:10
44K
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 12:10
37K
caprine-2.60.3-r1.apk
2025-03-24 05:33
16M
caps2esc-0.3.2-r0.apk
2024-10-25 20:59
4.4K
care-2.3.0-r1.apk
2024-10-25 20:59
95K
care-doc-2.3.0-r1.apk
2024-10-25 20:59
7.9K
cargo-chef-0.1.71-r1.apk
2025-04-13 23:31
1.1M
cargo-chef-doc-0.1.71-r1.apk
2025-04-13 23:31
9.1K
cargo-credential-oo7-0.4.3-r1.apk
2025-04-20 22:33
807K
cargo-crev-0.26.3-r0.apk
2025-03-02 17:12
6.0M
cargo-expand-1.0.106-r0.apk
2025-04-07 22:33
2.3M
cargo-expand-doc-1.0.106-r0.apk
2025-04-07 22:33
5.7K
cargo-flamegraph-0.6.7-r0.apk
2025-01-13 12:49
1.2M
cargo-flamegraph-bash-completion-0.6.7-r0.apk
2025-01-13 12:49
2.3K
cargo-flamegraph-doc-0.6.7-r0.apk
2025-01-13 12:49
15K
cargo-flamegraph-fish-completion-0.6.7-r0.apk
2025-01-13 12:49
2.5K
cargo-flamegraph-zsh-completion-0.6.7-r0.apk
2025-01-13 12:49
2.9K
cargo-generate-0.22.1-r0.apk
2025-02-24 18:12
2.3M
cargo-hack-0.6.34-r1.apk
2025-04-13 23:31
543K
cargo-hack-doc-0.6.34-r1.apk
2025-04-13 23:31
11K
cargo-leptos-0.2.32-r0.apk
2025-03-24 05:33
10M
cargo-leptos-doc-0.2.32-r0.apk
2025-03-24 05:33
2.2K
cargo-machete-0.7.0-r0.apk
2024-12-28 19:15
1.2M
cargo-machete-doc-0.7.0-r0.apk
2024-12-28 19:15
3.9K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 20:59
442K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 20:59
5.1K
cargo-show-asm-0.2.49-r0.apk
2025-04-13 23:31
865K
cargo-show-asm-doc-0.2.49-r0.apk
2025-04-13 23:31
10K
cargo-shuttle-0.53.0-r0.apk
2025-03-13 18:14
4.5M
cargo-shuttle-bash-completion-0.53.0-r0.apk
2025-03-13 18:14
4.7K
cargo-shuttle-doc-0.53.0-r0.apk
2025-03-13 18:14
9.1K
cargo-shuttle-fish-completion-0.53.0-r0.apk
2025-03-13 18:14
7.4K
cargo-shuttle-zsh-completion-0.53.0-r0.apk
2025-03-13 18:14
7.3K
cargo-sort-1.1.0-r0.apk
2025-03-24 05:33
421K
cargo-sqlx-0.8.5-r0.apk
2025-04-17 22:19
1.7M
cargo-udeps-0.1.55-r1.apk
2025-02-24 18:12
4.8M
cargo-udeps-doc-0.1.55-r1.apk
2025-02-24 18:12
7.4K
cargo-update-16.2.1-r0.apk
2025-03-24 05:33
1.1M
cargo-update-doc-16.2.1-r0.apk
2025-03-24 05:33
8.3K
cargo-vendor-filterer-0.5.9-r1.apk
2024-10-25 20:59
444K
cargo-zigbuild-0.19.8-r0.apk
2025-04-19 21:02
844K
cargo-zigbuild-doc-0.19.8-r0.apk
2025-04-19 21:02
4.7K
castero-0.9.5-r3.apk
2024-10-25 20:59
52K
castero-pyc-0.9.5-r3.apk
2024-10-25 20:59
95K
castor-0.9.0-r2.apk
2024-10-25 20:59
723K
cataclysm-dda-0h-r0.apk
2025-03-21 17:20
19M
cataclysm-dda-curses-0h-r0.apk
2025-03-21 17:20
12M
cataclysm-dda-doc-0h-r0.apk
2025-03-21 17:20
4.6K
cataclysm-dda-lang-0h-r0.apk
2025-03-21 17:20
38M
cataclysm-dda-tiles-0h-r0.apk
2025-03-21 17:20
49M
catcodec-1.0.5-r2.apk
2024-10-25 20:59
12K
catcodec-doc-1.0.5-r2.apk
2024-10-25 20:59
4.9K
catdoc-0.95-r1.apk
2024-10-25 20:59
108K
catdoc-doc-0.95-r1.apk
2024-10-25 20:59
9.2K
catfish-4.20.0-r0.apk
2024-12-27 11:36
126K
catfish-doc-4.20.0-r0.apk
2024-12-27 11:36
13K
catfish-lang-4.20.0-r0.apk
2024-12-27 11:36
162K
catfish-pyc-4.20.0-r0.apk
2024-12-27 11:36
101K
cava-0.10.4-r1.apk
2025-02-22 15:38
42K
cbqn-0.9.0-r0.apk
2025-03-25 16:33
656K
cc65-2.19-r0.apk
2024-10-25 20:59
8.9M
ccrtp-2.1.2-r0.apk
2024-10-25 20:59
91K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 20:59
53K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 20:59
31K
ccze-0.2.1-r1.apk
2024-10-25 20:59
51K
ccze-dev-0.2.1-r1.apk
2024-10-25 20:59
3.3K
ccze-doc-0.2.1-r1.apk
2024-10-25 20:59
8.8K
cdba-1.0-r2.apk
2024-10-25 20:59
7.6K
cdba-server-1.0-r2.apk
2024-10-25 20:59
21K
cddlib-0.94m-r2.apk
2024-10-25 20:59
184K
cddlib-dev-0.94m-r2.apk
2024-10-25 20:59
14K
cddlib-doc-0.94m-r2.apk
2024-10-25 20:59
864K
cddlib-static-0.94m-r2.apk
2024-10-25 20:59
251K
cddlib-tools-0.94m-r2.apk
2024-10-25 20:59
35K
cdist-7.0.0-r6.apk
2024-10-25 20:59
511K
cdist-pyc-7.0.0-r6.apk
2024-10-25 20:59
128K
cdogs-sdl-2.1.0-r0.apk
2024-10-25 20:59
33M
cemu-2.5-r0.apk
2025-04-03 02:11
20M
cemu-lang-2.5-r0.apk
2025-04-03 02:11
432K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-28 00:05
9.3K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-28 00:05
4.2K
certbot-dns-pdns-0.1.1-r0.apk
2024-10-25 20:59
8.9K
certbot-dns-pdns-pyc-0.1.1-r0.apk
2024-10-25 20:59
3.9K
certigo-1.16.0-r22.apk
2025-04-13 23:31
3.8M
certstrap-1.3.0-r23.apk
2025-04-13 23:31
2.3M
cfssl-1.6.5-r4.apk
2025-04-13 23:31
30M
cgiirc-0.5.12-r1.apk
2024-10-25 20:59
133K
cgo-0.6.1-r1.apk
2024-10-25 20:59
9.9K
cgo-doc-0.6.1-r1.apk
2024-10-25 20:59
4.1K
chamo-4.0-r0.apk
2024-10-25 20:59
6.3M
chamo-byte-4.0-r0.apk
2024-10-25 20:59
1.4M
chamo-dev-4.0-r0.apk
2024-10-25 20:59
4.1M
charls-2.4.2-r0.apk
2024-10-25 20:59
64K
charls-dev-2.4.2-r0.apk
2024-10-25 20:59
27K
chasquid-1.15.0-r3.apk
2025-04-13 23:31
11M
chasquid-doc-1.15.0-r3.apk
2025-04-13 23:31
15K
chasquid-openrc-1.15.0-r3.apk
2025-04-13 23:31
1.9K
checkpolicy-3.6-r0.apk
2024-10-25 20:59
368K
checkpolicy-doc-3.6-r0.apk
2024-10-25 20:59
4.2K
cherrytree-1.4.0-r0.apk
2025-03-26 18:40
2.7M
cherrytree-doc-1.4.0-r0.apk
2025-03-26 18:40
2.1K
cherrytree-lang-1.4.0-r0.apk
2025-03-26 18:40
859K
chicago95-3.0.1_git20240619-r0.apk
2024-10-25 20:59
481K
chicago95-fonts-3.0.1_git20240619-r0.apk
2024-10-25 20:59
215K
chicago95-icons-3.0.1_git20240619-r0.apk
2024-10-25 20:59
12M
chim-1.1.2-r1.apk
2024-10-25 20:59
1.7M
chim-doc-1.1.2-r1.apk
2024-10-25 20:59
2.8K
chocolate-doom-3.1.0-r0.apk
2024-10-25 20:59
1.6M
chocolate-doom-doc-3.1.0-r0.apk
2024-10-25 20:59
231K
cilium-cli-0.16.13-r4.apk
2025-04-13 23:31
56M
cilium-cli-bash-completion-0.16.13-r4.apk
2025-04-13 23:31
5.1K
cilium-cli-fish-completion-0.16.13-r4.apk
2025-04-13 23:31
4.3K
cilium-cli-zsh-completion-0.16.13-r4.apk
2025-04-13 23:31
4.1K
cimg-3.4.1-r0.apk
2024-10-25 20:59
826K
cinny-web-4.6.0-r0.apk
2025-04-07 19:52
10M
circuslinux-1.0.3-r1.apk
2024-10-25 20:59
19K
circuslinux-data-1.0.3-r1.apk
2024-10-25 20:59
1.1M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 20:59
18K
ckb-next-0.6.2-r0.apk
2025-03-21 17:20
1.3M
ckb-next-daemon-0.6.2-r0.apk
2025-03-21 17:20
70K
ckb-next-daemon-openrc-0.6.2-r0.apk
2025-03-21 17:20
1.8K
ckb-next-dev-0.6.2-r0.apk
2025-03-21 17:20
4.9K
clang-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
9.1M
clang-next-ccache-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
1.7K
clang-next-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
3.7M
clang-next-headers-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
630K
clang-next-libclang-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
9.0M
clang-next-libs-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
15M
clang-next-rtlib-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
15M
clang-next-rtlib-atomic-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
8.3K
clang-next-rtlib-scudo-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
39K
clang-next-static-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
33M
clatd-1.6-r0.apk
2024-10-25 20:59
13K
clementine-1.4.1_git20250121-r2.apk
2025-04-11 19:44
6.2M
clevis-21-r0.apk
2025-01-20 05:17
51K
clevis-bash-completion-21-r0.apk
2025-01-20 05:17
2.0K
clevis-dbg-21-r0.apk
2025-01-20 05:17
62K
clevis-doc-21-r0.apk
2025-01-20 05:17
23K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 20:59
4.7K
click-0.5.2-r4.apk
2025-02-22 15:38
157K
click-dev-0.5.2-r4.apk
2025-02-22 15:38
9.1K
click-doc-0.5.2-r4.apk
2025-02-22 15:38
3.3K
click-pyc-0.5.2-r4.apk
2025-02-22 15:38
175K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 20:59
47K
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 20:59
6.5K
cliphist-0.6.1-r4.apk
2025-04-13 23:31
966K
cliphist-fzf-0.6.1-r4.apk
2025-04-13 23:31
1.8K
clipit-1.4.5-r3.apk
2024-10-25 20:59
65K
clipit-doc-1.4.5-r3.apk
2024-10-25 20:59
2.4K
cliquer-1.22-r2.apk
2024-10-25 20:59
7.2K
cliquer-dev-1.22-r2.apk
2024-10-25 20:59
7.4K
cliquer-libs-1.22-r2.apk
2024-10-25 20:59
24K
cliquer-static-1.22-r2.apk
2024-10-25 20:59
28K
cliquer-tests-1.22-r2.apk
2024-10-25 20:59
24K
cln-1.3.7-r0.apk
2024-10-25 20:59
451K
cln-dev-1.3.7-r0.apk
2024-10-25 20:59
1.1M
cln-doc-1.3.7-r0.apk
2024-10-25 20:59
78K
cloud-hypervisor-44.0-r0.apk
2025-02-05 22:35
2.5M
cloudflared-2024.12.1-r4.apk
2025-04-13 23:31
9.6M
cloudflared-doc-2024.12.1-r4.apk
2025-04-13 23:31
1.9K
cloudflared-openrc-2024.12.1-r4.apk
2025-04-13 23:31
1.8K
cloudfoundry-cli-8.7.9-r8.apk
2025-04-13 23:31
9.3M
cluster-glue-1.0.12-r5.apk
2024-10-25 20:59
261K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 20:59
1.0M
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 20:59
33K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 20:59
112K
cm256cc-1.1.1-r1.apk
2025-02-09 00:48
9.3K
cm256cc-dev-1.1.1-r1.apk
2025-02-09 00:48
15K
cmusfm-0.5.0-r0.apk
2024-10-25 20:59
14K
coccinelle-1.1.1-r2.apk
2024-10-25 20:59
6.7M
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 20:59
2.9K
coccinelle-doc-1.1.1-r2.apk
2024-10-25 20:59
16K
cocogitto-6.3.0-r0.apk
2025-03-21 20:28
1.8M
cocogitto-bash-completion-6.3.0-r0.apk
2025-03-21 20:28
3.0K
cocogitto-doc-6.3.0-r0.apk
2025-03-21 20:28
38K
cocogitto-fish-completion-6.3.0-r0.apk
2025-03-21 20:28
3.3K
cocogitto-zsh-completion-6.3.0-r0.apk
2025-03-21 20:28
3.0K
code-minimap-0.6.7-r0.apk
2024-12-12 20:36
356K
code-minimap-doc-0.6.7-r0.apk
2024-12-12 20:36
8.0K
code-oss-1.98.1-r1.apk
2025-03-24 05:33
23M
code-oss-bash-completion-1.98.1-r1.apk
2025-03-24 05:33
2.1K
code-oss-zsh-completion-1.98.1-r1.apk
2025-03-24 05:33
2.6K
codeberg-cli-0.4.9-r0.apk
2025-03-11 12:18
1.9M
codeberg-cli-bash-completion-0.4.9-r0.apk
2025-03-11 12:18
4.9K
codeberg-cli-fish-completion-0.4.9-r0.apk
2025-03-11 12:18
4.8K
codeberg-cli-zsh-completion-0.4.9-r0.apk
2025-03-11 12:18
6.7K
coin-4.0.3-r0.apk
2024-12-13 21:38
3.0M
coin-dev-4.0.3-r0.apk
2024-12-13 21:38
326K
colormake-0.9.20170221-r0.apk
2024-10-25 20:59
4.0K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 20:59
2.7K
colorpicker-0_git20201128-r1.apk
2024-10-25 20:59
4.2K
comics-downloader-0.33.8-r9.apk
2025-04-13 23:31
3.9M
comics-downloader-gui-0.33.8-r9.apk
2025-04-13 23:31
5.7M
commoncpp-7.0.1-r1.apk
2024-10-25 20:59
289K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 20:59
173K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 20:59
15K
commoncpp-tools-7.0.1-r1.apk
2024-10-25 20:59
47K
compiz-0.9.14.2-r9.apk
2025-04-11 19:44
5.9M
compiz-dev-0.9.14.2-r9.apk
2025-04-11 19:44
117K
compiz-lang-0.9.14.2-r9.apk
2025-04-11 19:44
1.2M
compiz-pyc-0.9.14.2-r9.apk
2025-04-11 19:44
112K
compiz-utils-0.9.14.2-r9.apk
2025-04-11 19:44
3.3K
conntracct-0.2.7-r30.apk
2025-04-13 23:31
5.2M
conntracct-openrc-0.2.7-r30.apk
2025-04-13 23:31
1.9K
console_bridge-1.0.2-r0.apk
2024-10-25 20:59
9.6K
console_bridge-dev-1.0.2-r0.apk
2024-10-25 20:59
4.7K
consul-replicate-0.4.0-r30.apk
2025-04-13 23:31
3.0M
contour-0.6.1.7494-r0.apk
2025-04-14 11:36
2.0M
contour-bash-plugin-0.6.1.7494-r0.apk
2025-04-14 11:36
6.5K
contour-doc-0.6.1.7494-r0.apk
2025-04-14 11:36
5.5K
contour-fish-plugin-0.6.1.7494-r0.apk
2025-04-14 11:36
2.2K
contour-zsh-plugin-0.6.1.7494-r0.apk
2025-04-14 11:36
2.2K
contractor-0.3.5-r0.apk
2024-11-12 22:55
26K
convert2json-2.1.0-r0.apk
2025-04-13 23:31
2.3M
convert2json-doc-2.1.0-r0.apk
2025-04-13 23:31
11K
copyq-9.1.0-r1.apk
2024-12-12 18:43
2.5M
copyq-bash-completion-9.1.0-r1.apk
2024-12-12 18:43
2.2K
copyq-doc-9.1.0-r1.apk
2024-12-12 18:43
3.4K
cortex-tenant-1.15.2-r5.apk
2025-04-13 23:31
4.3M
cortex-tenant-openrc-1.15.2-r5.apk
2025-04-13 23:31
2.0K
cosmic-app-library-1.0.0_alpha6-r0.apk
2025-03-11 12:18
6.8M
cosmic-applets-1.0.0_alpha6-r0.apk
2025-03-11 12:18
10M
cosmic-bg-1.0.0_alpha6-r0.apk
2025-03-11 12:18
1.7M
cosmic-comp-1.0.0_alpha6-r1.apk
2025-03-21 17:20
6.0M
cosmic-edit-1.0.0_alpha6-r0.apk
2025-03-11 12:18
9.3M
cosmic-files-1.0.0_alpha6-r0.apk
2025-03-11 12:18
16M
cosmic-greeter-1.0.0_alpha6-r1.apk
2025-04-14 12:10
4.9M
cosmic-icons-1.0.0_alpha6-r0.apk
2025-03-11 12:18
231K
cosmic-idle-1.0.0_alpha6-r0.apk
2025-03-11 12:18
1.1M
cosmic-launcher-1.0.0_alpha6-r0.apk
2025-03-11 12:18
5.7M
cosmic-notifications-1.0.0_alpha6-r0.apk
2025-03-11 12:18
5.9M
cosmic-osd-1.0.0_alpha6-r0.apk
2025-03-11 12:18
5.0M
cosmic-panel-1.0.0_alpha6-r0.apk
2025-03-11 12:18
4.0M
cosmic-player-1.0.0_alpha6-r0.apk
2025-03-11 12:18
6.5M
cosmic-randr-1.0.0_alpha6-r0.apk
2025-03-11 12:18
416K
cosmic-screenshot-1.0.0_alpha6-r0.apk
2025-03-11 12:18
864K
cosmic-session-1.0.0_alpha6-r2.apk
2025-04-10 16:17
1.2M
cosmic-settings-1.0.0_alpha6-r0.apk
2025-03-11 12:18
11M
cosmic-settings-daemon-1.0.0_alpha6-r0.apk
2025-03-11 12:18
1.2M
cosmic-store-1.0.0_alpha6-r0.apk
2025-03-11 12:18
7.5M
cosmic-term-1.0.0_alpha6-r0.apk
2025-03-11 12:18
8.1M
cosmic-workspaces-1.0.0_alpha6-r0.apk
2025-03-11 12:18
6.0M
cosmopolitan-1.0-r0.apk
2024-10-25 20:59
5.5M
cosmopolitan-doc-1.0-r0.apk
2024-10-25 20:59
152K
cowsay-3.04-r2.apk
2024-10-25 20:59
18K
cowsay-doc-3.04-r2.apk
2024-10-25 20:59
4.0K
coxeter-3.0-r1.apk
2024-10-25 20:59
48K
coxeter-dev-3.0-r1.apk
2024-10-25 20:59
57K
coxeter-libs-3.0-r1.apk
2024-10-25 20:59
311K
cpdf-2.8-r0.apk
2025-03-23 08:18
2.0M
cpdf-doc-2.8-r0.apk
2025-03-23 08:18
536K
cpiped-0.1.0-r0.apk
2024-10-25 20:59
6.4K
cpplint-2.0.2-r0.apk
2025-04-14 01:26
80K
cpplint-pyc-2.0.2-r0.apk
2025-04-14 01:26
99K
cproc-0_git20240427-r1.apk
2024-11-03 22:51
52K
cproc-dbg-0_git20240427-r1.apk
2024-11-03 22:51
121K
cproc-doc-0_git20240427-r1.apk
2024-11-03 22:51
2.8K
cpu-x-5.2.0-r0.apk
2025-04-06 20:36
2.1M
cpu-x-bash-completion-5.2.0-r0.apk
2025-04-06 20:36
2.0K
cpu-x-fish-completion-5.2.0-r0.apk
2025-04-06 20:36
2.2K
cpu-x-lang-5.2.0-r0.apk
2025-04-06 20:36
266K
cpu-x-zsh-completion-5.2.0-r0.apk
2025-04-06 20:36
2.1K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 20:59
4.1K
cpufetch-1.06-r0.apk
2024-10-25 20:59
43K
cpufetch-doc-1.06-r0.apk
2024-10-25 20:59
3.1K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 20:59
34K
create-tauri-app-4.5.9-r0.apk
2024-12-25 23:07
638K
create-tauri-app-doc-4.5.9-r0.apk
2024-12-25 23:07
6.1K
createrepo_c-1.1.4-r0.apk
2024-10-25 20:59
47K
createrepo_c-bash-completion-1.1.4-r0.apk
2024-10-25 20:59
2.9K
createrepo_c-dev-1.1.4-r0.apk
2024-10-25 20:59
31K
createrepo_c-doc-1.1.4-r0.apk
2024-10-25 20:59
8.7K
createrepo_c-libs-1.1.4-r0.apk
2024-10-25 20:59
88K
crispy-doom-7.0-r0.apk
2024-10-25 20:59
1.7M
crispy-doom-doc-7.0-r0.apk
2024-10-25 20:59
107K
critcl-3.3.1-r0.apk
2024-10-25 20:59
433K
critcl-doc-3.3.1-r0.apk
2024-10-25 20:59
787K
criu-3.19-r1.apk
2024-10-25 20:59
680K
criu-dev-3.19-r1.apk
2024-10-25 20:59
92K
criu-doc-3.19-r1.apk
2024-10-25 20:59
24K
crossplane-0.5.8-r3.apk
2024-10-25 20:59
30K
crossplane-pyc-0.5.8-r3.apk
2024-10-25 20:59
39K
crosstool-ng-1.27.0-r0.apk
2025-02-06 05:45
2.1M
crosstool-ng-bash-completion-1.27.0-r0.apk
2025-02-06 05:45
2.1K
crosstool-ng-doc-1.27.0-r0.apk
2025-02-06 05:45
33K
crowdsec-1.6.8-r1.apk
2025-04-13 23:31
36M
crowdsec-email-plugin-1.6.8-r1.apk
2025-04-13 23:31
8.9M
crowdsec-http-plugin-1.6.8-r1.apk
2025-04-13 23:31
8.9M
crowdsec-openrc-1.6.8-r1.apk
2025-04-13 23:31
1.8K
crowdsec-sentinel-plugin-1.6.8-r1.apk
2025-04-13 23:31
8.9M
crowdsec-slack-plugin-1.6.8-r1.apk
2025-04-13 23:31
8.9M
crowdsec-splunk-plugin-1.6.8-r1.apk
2025-04-13 23:31
8.9M
crun-vm-0.3.0-r0.apk
2024-11-12 12:40
1.1M
crun-vm-doc-0.3.0-r0.apk
2024-11-12 12:40
13K
cscope-15.9-r1.apk
2024-10-25 20:59
151K
cscope-doc-15.9-r1.apk
2024-10-25 20:59
7.5K
csfml-2.5.2-r0.apk
2024-10-25 20:59
93K
csfml-dev-2.5.2-r0.apk
2024-10-25 20:59
77K
csfml-doc-2.5.2-r0.apk
2024-10-25 20:59
204K
csmith-2.3.0-r2.apk
2024-10-25 20:59
304K
csmith-doc-2.3.0-r2.apk
2024-10-25 20:59
3.1K
csol-1.6.0-r0.apk
2024-10-25 20:59
38K
csol-doc-1.6.0-r0.apk
2024-10-25 20:59
3.8K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 20:59
86K
cups-pdf-3.0.1-r2.apk
2024-10-25 20:59
22K
cura-5.2.2-r1.apk
2024-10-25 20:59
42M
cura-lang-5.2.2-r1.apk
2024-10-25 20:59
4.1M
curlftpfs-0.9.2-r3.apk
2024-10-25 20:59
25K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 20:59
6.1K
curtail-1.11.1-r0.apk
2024-10-25 20:59
27K
curtail-lang-1.11.1-r0.apk
2024-10-25 20:59
66K
cutechess-1.3.1-r0.apk
2024-10-25 20:59
1.1M
cutechess-cli-1.3.1-r0.apk
2024-10-25 20:59
324K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 20:59
6.6K
cutechess-doc-1.3.1-r0.apk
2024-10-25 20:59
3.6K
cvise-2.11.0-r0.apk
2025-03-11 12:18
5.2M
cvise-pyc-2.11.0-r0.apk
2025-03-11 12:18
60K
cvs-fast-export-1.65-r0.apk
2024-10-25 20:59
46K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 20:59
17K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 20:59
8.7K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 20:59
6.7K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 20:59
2.3K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 20:59
6.9K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 20:59
219K
daemontools-0.76-r3.apk
2024-10-25 20:59
61K
daemontools-openrc-0.76-r3.apk
2024-10-25 20:59
2.0K
daktilo-0.6.0-r0.apk
2024-10-25 20:59
1.8M
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 20:59
2.2K
daktilo-doc-0.6.0-r0.apk
2024-10-25 20:59
8.7K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 20:59
1.9K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 20:59
2.3K
dart-3.7.1-r1.apk
2025-04-03 02:11
56M
dart-sass-1.86.0-r0.apk
2025-03-21 17:20
1.4M
dart-sdk-3.7.1-r1.apk
2025-04-03 02:11
139M
dart-stage0-3.7.0_alpha232_p0-r0.apk
2025-02-24 00:18
195M
dartaotruntime-3.7.1-r1.apk
2025-04-03 02:11
1.5M
darts-clone-0_git20181117-r0.apk
2024-10-25 20:59
37K
darts-clone-dev-0_git20181117-r0.apk
2024-10-25 20:59
13K
dasht-2.4.0-r0.apk
2024-10-25 20:59
14K
dasht-doc-2.4.0-r0.apk
2024-10-25 20:59
11K
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 20:59
2.1K
davmail-6.3.0-r0.apk
2025-04-17 19:47
9.4M
dbmate-2.26.0-r1.apk
2025-04-13 23:31
11M
dbmate-doc-2.26.0-r1.apk
2025-04-13 23:31
2.3K
dbus-broker-36-r0.apk
2024-10-25 20:59
84K
dbus-broker-doc-36-r0.apk
2024-10-25 20:59
5.8K
dcmtk-3.6.9-r0.apk
2025-01-19 17:47
1.3M
dcmtk-dev-3.6.9-r0.apk
2025-01-19 17:47
1.6M
dcmtk-doc-3.6.9-r0.apk
2025-01-19 17:47
257K
dcmtk-openrc-3.6.9-r0.apk
2025-01-19 17:47
1.7K
dcnnt-0.10.0-r1.apk
2024-10-25 20:59
28K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 20:59
6.6K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 20:59
62K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-21 17:20
19K
ddgr-2.2-r0.apk
2024-10-25 20:59
20K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 20:59
2.2K
ddgr-doc-2.2-r0.apk
2024-10-25 20:59
12K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 20:59
2.3K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 20:59
2.7K
ddnrs-0.3.0-r0.apk
2024-10-25 20:59
943K
ddnrs-openrc-0.3.0-r0.apk
2024-10-25 20:59
2.0K
ddserver-0_git20200930-r1.apk
2024-10-25 20:59
12K
deadbeef-soxr-20180801-r0.apk
2024-10-25 20:59
6.0K
debconf-1.5.82-r0.apk
2024-10-25 20:59
69K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 20:59
1.9K
debconf-doc-1.5.82-r0.apk
2024-10-25 20:59
27K
debconf-lang-1.5.82-r0.apk
2024-10-25 20:59
132K
debconf-utils-1.5.82-r0.apk
2024-10-25 20:59
6.7K
deblob-0.9-r0.apk
2024-12-01 18:08
107K
deblob-doc-0.9-r0.apk
2024-12-01 18:08
3.7K
decoder-0.7.0-r0.apk
2025-04-10 16:09
1.9M
decoder-lang-0.7.0-r0.apk
2025-04-10 16:09
59K
dehydrated-0.7.1-r0.apk
2024-10-25 20:59
26K
desed-1.2.1-r1.apk
2024-10-25 20:59
386K
desed-doc-1.2.1-r1.apk
2024-10-25 20:59
2.9K
desync-0.9.6-r4.apk
2025-04-13 23:31
7.9M
detox-2.0.0-r0.apk
2024-10-25 20:59
108K
detox-doc-2.0.0-r0.apk
2024-10-25 20:59
21K
devil-1.8.0-r0.apk
2024-10-25 20:59
241K
devil-dev-1.8.0-r0.apk
2024-10-25 20:59
13K
devpod-0.5.21-r2.apk
2025-04-13 23:31
23M
dewduct-0.2.3-r0.apk
2024-10-25 20:59
1.1M
dfl-applications-0.2.0-r0.apk
2024-10-25 20:59
31K
dfl-applications-dev-0.2.0-r0.apk
2024-10-25 20:59
4.1K
dfl-ipc-0.2.0-r0.apk
2024-10-25 20:59
19K
dfl-ipc-dev-0.2.0-r0.apk
2024-10-25 20:59
3.6K
dfl-login1-0.2.0-r0.apk
2024-10-25 20:59
16K
dfl-login1-dev-0.2.0-r0.apk
2024-10-25 20:59
3.5K
dfl-sni-0.2.0-r0.apk
2024-10-25 20:59
30K
dfl-sni-dev-0.2.0-r0.apk
2024-10-25 20:59
4.1K
dfu-programmer-1.1.0-r0.apk
2024-10-25 20:59
35K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 20:59
2.8K
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 20:59
5.8K
dhewm3-1.5.4-r0.apk
2025-02-22 15:38
5.0M
diceware-1.0.1-r0.apk
2025-01-13 23:49
334K
diceware-pyc-1.0.1-r0.apk
2025-01-13 23:49
18K
dis4irc-1.6.4-r0.apk
2025-04-14 01:31
19M
dis4irc-doc-1.6.4-r0.apk
2025-04-14 01:31
2.3K
dis4irc-openrc-1.6.4-r0.apk
2025-04-14 01:31
1.9K
disfetch-3.7-r0.apk
2024-10-25 20:59
8.3K
diskonaut-0.11.0-r3.apk
2024-10-25 20:59
440K
diskus-0.7.0-r2.apk
2024-10-25 20:59
322K
dislocker-0.7.3-r5.apk
2024-10-25 20:59
12K
dislocker-doc-0.7.3-r5.apk
2024-10-25 20:59
6.1K
dislocker-libs-0.7.3-r5.apk
2024-10-25 20:59
43K
dissent-0.0.32-r4.apk
2025-04-13 23:31
15M
dive-0.13.0-r1.apk
2025-04-13 23:31
4.0M
dived-1.9.0-r0.apk
2024-10-25 20:59
22K
dived-doc-1.9.0-r0.apk
2024-10-25 20:59
11K
dlib-19.24.4-r0.apk
2024-10-25 20:59
765K
dlib-dev-19.24.4-r0.apk
2024-10-25 20:59
2.4M
dmarc-cat-0.15.0-r4.apk
2025-04-13 23:31
2.8M
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 23:00
25K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 23:00
1.8K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 23:00
46K
dnote-0.15.1-r4.apk
2025-04-13 23:31
4.6M
dnote-bash-completion-0.15.1-r4.apk
2025-04-13 23:31
2.1K
dnote-doc-0.15.1-r4.apk
2025-04-13 23:31
15K
dnote-zsh-completion-0.15.1-r4.apk
2025-04-13 23:31
2.0K
dnscontrol-4.18.0-r1.apk
2025-04-13 23:31
15M
dnscontrol-doc-4.18.0-r1.apk
2025-04-13 23:31
2.3K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 20:59
29K
dnsenum-1.3.2-r0.apk
2024-10-25 20:59
21K
dnsenum-doc-1.3.2-r0.apk
2024-10-25 20:59
5.2K
dnsperf-2.14.0-r0.apk
2024-10-25 20:59
71K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 20:59
35K
dnssec-tools-2.2.3-r12.apk
2024-10-25 20:59
757K
dnssec-tools-dev-2.2.3-r12.apk
2024-10-25 20:59
188K
dnssec-tools-doc-2.2.3-r12.apk
2024-10-25 20:59
315K
doasedit-1.0.7-r0.apk
2024-10-25 20:59
3.6K
docker-auth-1.13.0-r3.apk
2025-04-13 23:31
9.9M
docker-auth-doc-1.13.0-r3.apk
2025-04-13 23:31
10K
docker-auth-openrc-1.13.0-r3.apk
2025-04-13 23:31
2.1K
docker-machine-driver-kvm2-1.34.0-r4.apk
2025-04-13 23:31
4.3M
docker-volume-local-persist-1.3.0-r32.apk
2025-04-13 23:31
2.6M
docker-volume-local-persist-openrc-1.3.0-r32.apk
2025-04-13 23:31
1.8K
dockerize-0.9.0-r4.apk
2025-04-13 23:31
3.4M
dodo-0_git20241007-r0.apk
2024-10-25 20:59
186K
dodo-pyc-0_git20241007-r0.apk
2024-10-25 20:59
86K
dolt-1.50.0-r2.apk
2025-04-13 23:31
36M
dooit-3.1.0-r0.apk
2024-12-07 21:27
45K
dooit-extras-0.2.0-r0.apk
2024-12-07 21:27
13K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 21:27
23K
dooit-pyc-3.1.0-r0.apk
2024-12-07 21:27
100K
dotenv-linter-3.3.0-r1.apk
2024-10-25 20:59
1.0M
dotnet-bash-completion-6.0.136-r1.apk
2024-11-25 05:49
1.8K
dotnet-doc-6.0.136-r1.apk
2024-11-25 05:49
113K
dotnet-host-6.0.36-r1.apk
2024-11-25 05:49
34K
dotnet-zsh-completion-6.0.136-r1.apk
2024-11-25 05:49
1.7K
dotnet6-apphost-pack-6.0.36-r1.apk
2024-11-25 05:49
4.6M
dotnet6-artifacts-6.0.136-r1.apk
2024-11-25 05:50
442M
dotnet6-build-6.0.136-r1.apk
2024-11-25 05:50
1.2G
dotnet6-hostfxr-6.0.36-r1.apk
2024-11-25 05:50
159K
dotnet6-runtime-6.0.36-r1.apk
2024-11-25 05:50
28M
dotnet6-sdk-6.0.136-r1.apk
2024-11-25 05:50
103M
dotnet6-stage0-6.0.116-r4.apk
2024-10-25 21:00
1.2K
dotnet6-stage0-artifacts-6.0.116-r4.apk
2024-10-25 21:00
1.0G
dotnet6-stage0-bootstrap-6.0.116-r4.apk
2024-10-25 21:00
409M
dotnet6-targeting-pack-6.0.36-r1.apk
2024-11-25 05:50
3.1M
dotnet6-templates-6.0.136-r1.apk
2024-11-25 05:50
5.9M
downloader-cli-0.3.4-r1.apk
2024-10-25 21:00
2.0K
dprint-0.49.1-r0.apk
2025-04-14 00:35
4.1M
dprint-bash-completion-0.49.1-r0.apk
2025-04-14 00:35
3.2K
dprint-doc-0.49.1-r0.apk
2025-04-14 00:35
3.2K
dprint-fish-completion-0.49.1-r0.apk
2025-04-14 00:35
3.8K
dprint-zsh-completion-0.49.1-r0.apk
2025-04-14 00:35
4.1K
draco-1.5.7-r2.apk
2025-02-22 15:38
817K
draco-dev-1.5.7-r2.apk
2025-02-22 15:38
205K
draco-static-1.5.7-r2.apk
2025-02-22 15:38
1.4M
draco-tools-1.5.7-r2.apk
2025-02-22 15:38
1.2M
draw-0.1.1-r12.apk
2025-04-13 23:31
1.0M
drawing-1.0.2-r0.apk
2024-10-25 21:00
3.2M
drawing-lang-1.0.2-r0.apk
2024-10-25 21:00
272K
drogon-1.9.4-r1.apk
2024-10-25 21:00
1.5M
drogon-dev-1.9.4-r1.apk
2024-10-25 21:00
125K
drogon-doc-1.9.4-r1.apk
2024-10-25 21:00
2.3K
droidcam-2.1.3-r1.apk
2024-11-09 02:40
18K
droidcam-gui-2.1.3-r1.apk
2024-11-09 02:40
27K
drone-cli-1.8.0-r9.apk
2025-04-13 23:31
6.1M
dropwatch-1.5.4-r7.apk
2025-02-22 15:38
15K
dropwatch-doc-1.5.4-r7.apk
2025-02-22 15:38
3.6K
drumgizmo-0.9.20-r1.apk
2024-10-25 21:00
395K
drupal7-7.103-r0.apk
2024-12-04 18:27
3.3M
drupal7-doc-7.103-r0.apk
2024-12-04 18:27
57K
dsnet-0.7.3-r10.apk
2025-04-13 23:31
4.1M
dsnet-doc-0.7.3-r10.apk
2025-04-13 23:31
9.2K
dsp-1.9-r2.apk
2024-10-25 21:00
96K
dsp-doc-1.9-r2.apk
2024-10-25 21:00
7.2K
dstask-0.26-r13.apk
2025-04-13 23:31
1.5M
dstask-bash-completion-0.26-r13.apk
2025-04-13 23:31
2.1K
dstask-import-0.26-r13.apk
2025-04-13 23:31
3.5M
dstask-zsh-completion-0.26-r13.apk
2025-04-13 23:31
1.7K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 21:00
45K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 21:00
2.9K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 21:00
6.9K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 21:00
2.3K
duc-1.4.5-r0.apk
2024-10-25 21:00
84K
duc-doc-1.4.5-r0.apk
2024-10-25 21:00
9.1K
duf-0.8.1-r25.apk
2025-04-13 23:31
1.1M
dufs-0.43.0-r0.apk
2025-01-23 19:58
1.6M
dufs-bash-completion-0.43.0-r0.apk
2025-01-23 19:58
2.3K
dufs-doc-0.43.0-r0.apk
2025-01-23 19:58
10K
dufs-fish-completion-0.43.0-r0.apk
2025-01-23 19:58
2.4K
dufs-zsh-completion-0.43.0-r0.apk
2025-01-23 19:58
2.7K
dulcepan-1.0.2-r0.apk
2024-10-25 21:00
20K
dum-0.1.20-r1.apk
2025-04-03 02:11
347K
dune-deps-1.3.0-r2.apk
2024-10-25 21:00
798K
dustracing2d-2.1.1-r1.apk
2024-10-25 21:00
5.1M
dvdbackup-0.4.2-r1.apk
2024-10-25 21:00
16K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 21:00
7.6K
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 21:00
1.4K
dwl-0.7-r0.apk
2024-10-25 21:00
27K
dwl-doc-0.7-r0.apk
2024-10-25 21:00
3.1K
e16-1.0.30-r0.apk
2024-11-05 14:22
783K
e16-doc-1.0.30-r0.apk
2024-11-05 14:22
27K
e16-lang-1.0.30-r0.apk
2024-11-05 14:22
380K
eatmemory-0.1.6-r2.apk
2024-10-25 21:00
4.3K
eboard-1.1.3-r1.apk
2024-10-25 21:00
1.4M
eboard-doc-1.1.3-r1.apk
2024-10-25 21:00
4.7K
ecasound-2.9.3-r4.apk
2025-02-24 22:28
674K
ecasound-dev-2.9.3-r4.apk
2025-02-24 22:28
1.1M
ecasound-doc-2.9.3-r4.apk
2025-02-24 22:28
38K
eccodes-2.38.3-r0.apk
2025-02-22 15:38
11M
eclib-20250122-r0.apk
2025-01-23 07:53
325K
eclib-dev-20250122-r0.apk
2025-01-23 07:53
94K
eclib-doc-20250122-r0.apk
2025-01-23 07:53
28K
eclib-libs-20250122-r0.apk
2025-01-23 07:53
1.2M
eclib-static-20250122-r0.apk
2025-01-23 07:53
20M
eclipse-ecj-4.34-r0.apk
2025-01-19 17:44
2.5M
ecm-7.0.5-r1.apk
2024-10-25 21:00
128K
ecm-dev-7.0.5-r1.apk
2024-10-25 21:00
4.9K
ecm-doc-7.0.5-r1.apk
2024-10-25 21:00
7.2K
ecos-2.0.10-r0.apk
2024-10-25 21:00
39K
ecos-dev-2.0.10-r0.apk
2024-10-25 21:00
28K
edam-1.0.2-r0.apk
2025-01-07 16:32
38K
edam-doc-1.0.2-r0.apk
2025-01-07 16:32
8.3K
edward-1.1.0-r0.apk
2024-10-25 21:00
2.0M
edward-doc-1.1.0-r0.apk
2024-10-25 21:00
5.3K
efibootguard-0.16-r0.apk
2024-10-25 21:00
103K
efibootguard-bash-completion-0.16-r0.apk
2024-10-25 21:00
3.6K
efibootguard-dev-0.16-r0.apk
2024-10-25 21:00
22K
efibootguard-zsh-completion-0.16-r0.apk
2024-10-25 21:00
2.9K
efl-1.28.1-r2.apk
2025-03-24 05:33
34M
efl-dev-1.28.1-r2.apk
2025-03-24 05:33
1.8M
efl-gdb-1.28.1-r2.apk
2025-03-24 05:33
1.7K
eiwd-3.6-r0.apk
2025-04-18 18:20
843K
eiwd-doc-3.6-r0.apk
2025-04-18 18:20
20K
eiwd-openrc-3.6-r0.apk
2025-04-18 18:20
1.9K
electron-34.4.1-r2.apk
2025-04-03 02:11
90M
electron-dev-34.4.1-r2.apk
2025-04-03 02:11
332K
electron-lang-34.4.1-r2.apk
2025-04-03 02:11
10M
electron-tasje-0.7.3-r0.apk
2024-10-25 21:00
1.2M
element-desktop-1.11.97-r0.apk
2025-04-10 15:52
31M
elementary-calculator-8.0.0-r0.apk
2024-10-28 23:05
71K
elementary-calculator-lang-8.0.0-r0.apk
2024-10-28 23:05
57K
elementary-camera-8.0.0-r0.apk
2024-10-27 15:20
84K
elementary-camera-lang-8.0.0-r0.apk
2024-10-27 15:20
34K
elementary-feedback-8.0.0-r0.apk
2024-10-27 15:17
43K
elementary-feedback-lang-8.0.0-r0.apk
2024-10-27 15:17
43K
elementary-icon-theme-8.0.0-r0.apk
2024-10-25 21:00
5.0M
elementary-music-8.0.0-r0.apk
2024-10-28 23:06
73K
elementary-music-lang-8.0.0-r0.apk
2024-10-28 23:06
47K
elementary-photos-8.0.1-r0.apk
2024-12-03 00:40
1.2M
elementary-photos-lang-8.0.1-r0.apk
2024-12-03 00:40
1.0M
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 23:08
83K
elementary-theme-8.1.0-r0.apk
2025-01-12 21:52
1.5M
elementary-videos-8.0.1-r0.apk
2024-11-10 23:06
110K
elementary-videos-lang-8.0.1-r0.apk
2024-11-10 23:06
85K
elf_diff-0.7.1-r3.apk
2024-10-25 21:00
108K
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 21:00
108K
elfio-3.12-r0.apk
2024-10-25 21:00
1.4K
elfio-dev-3.12-r0.apk
2024-10-25 21:00
55K
eludris-0.3.3-r1.apk
2024-10-25 21:00
1.9M
eludris-doc-0.3.3-r1.apk
2024-10-25 21:00
2.3K
emacs-ace-window-0.10.0_git20220911-r0.apk
2024-10-25 21:00
23K
emacs-avy-0.5.0_git20230420-r0.apk
2024-10-25 21:00
43K
emacs-avy-embark-collect-1.0_git20240327-r0.apk
2024-10-25 21:00
3.9K
emacs-centaur-tabs-3.2_git20230601-r0.apk
2024-10-25 21:00
55K
emacs-closql-1.2.1_git20240712-r0.apk
2024-10-25 21:00
14K
emacs-consult-1.4_git20240405-r0.apk
2024-10-25 21:00
138K
emacs-derl-0_git20231004-r0.apk
2024-10-25 21:00
23K
emacs-elfeed-3.4.1_git20240326-r0.apk
2024-10-25 21:00
91K
emacs-emacsql-3.1.1_git20240714-r0.apk
2024-10-25 21:00
23K
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk
2024-10-25 21:00
6.1K
emacs-emacsql-psql-3.1.1_git20240714-r0.apk
2024-10-25 21:00
5.9K
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk
2024-10-25 21:00
17K
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk
2024-10-25 21:00
4.3K
emacs-embark-1.0_git20240327-r0.apk
2024-10-25 21:00
110K
emacs-embark-consult-1.0_git20240327-r0.apk
2024-10-25 21:00
10K
emacs-ement-0.15.1-r0.apk
2024-10-25 21:00
287K
emacs-epkg-3.3.3_git20240713-r0.apk
2024-10-25 21:00
37K
emacs-fossil-0_git20230504-r0.apk
2024-10-25 21:00
15K
emacs-gnosis-0.3.2-r0.apk
2024-10-25 21:00
62K
emacs-hackernews-0.7.0-r0.apk
2024-10-25 21:00
16K
emacs-helm-3.9.7_git20240329-r0.apk
2024-10-25 21:00
815K
emacs-hnreader-0_git20221116-r0.apk
2024-10-25 21:00
9.8K
emacs-hydra-0.15.0_git20220910-r0.apk
2024-10-25 21:00
46K
emacs-llama-0.3.1_git20240722-r0.apk
2024-10-25 21:00
9.9K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-13 23:31
410K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-13 23:31
2.3K
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 21:00
6.6K
emacs-powerline-2.4_git20221110-r0.apk
2024-10-25 21:00
29K
emacs-sqlite3-api-0.18-r0.apk
2024-10-25 21:00
17K
emacs-svg-lib-0_git20240219-r0.apk
2024-10-25 21:00
19K
emacs-taxy-0.10.1-r0.apk
2024-10-25 21:00
11K
emacs-taxy-magit-section-0.13-r0.apk
2024-10-25 21:00
17K
empede-0.2.3-r0.apk
2024-10-25 21:00
1.6M
empede-doc-0.2.3-r0.apk
2024-10-25 21:00
2.3K
empede-openrc-0.2.3-r0.apk
2024-10-25 21:00
1.9K
emulationstation-2.11.2-r1.apk
2024-10-25 21:00
1.3M
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 21:00
3.3M
endeavour-43.0-r2.apk
2024-12-08 22:48
191K
endeavour-dev-43.0-r2.apk
2024-12-08 22:48
46K
endeavour-doc-43.0-r2.apk
2024-12-08 22:48
68K
endeavour-lang-43.0-r2.apk
2024-12-08 22:48
203K
endless-sky-0.10.2-r0.apk
2024-10-25 21:00
242M
endless-sky-doc-0.10.2-r0.apk
2024-10-25 21:00
37K
endlessh-1.1-r0.apk
2024-10-25 21:00
8.8K
endlessh-doc-1.1-r0.apk
2024-10-25 21:00
2.4K
enjoy-0.3-r1.apk
2024-10-25 21:00
12K
enlighten-0.9.2-r1.apk
2024-10-25 21:00
7.0K
enlighten-doc-0.9.2-r1.apk
2024-10-25 21:00
3.5K
envconsul-0.13.3-r2.apk
2025-04-13 23:31
5.1M
envsubst-0.1-r1.apk
2024-10-25 21:00
4.7K
epoch-1.3.0-r2.apk
2024-10-25 21:00
55K
epr-2.4.15-r1.apk
2024-10-25 21:00
16K
epr-pyc-2.4.15-r1.apk
2024-10-25 21:00
24K
ergo-ldap-0.0.1-r16.apk
2025-04-13 23:31
2.3M
ergo-ldap-doc-0.0.1-r16.apk
2025-04-13 23:31
2.3K
errands-46.2.7-r0.apk
2025-01-31 16:05
85K
errands-lang-46.2.7-r0.apk
2025-01-31 16:05
71K
espeakup-0.90-r2.apk
2024-10-25 21:00
11K
espeakup-openrc-0.90-r2.apk
2024-10-25 21:00
1.8K
esptool-4.8.1-r0.apk
2024-10-25 21:00
424K
esptool-pyc-4.8.1-r0.apk
2024-10-25 21:00
549K
ettercap-0.8.3.1-r3.apk
2024-10-25 21:00
556K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 21:00
45K
eva-0.3.1-r2.apk
2024-10-25 21:00
632K
evolution-on-3.24.4-r0.apk
2024-10-30 15:24
9.9K
eww-0.4.0-r1.apk
2024-10-25 21:00
1.5M
eww-dbg-0.4.0-r1.apk
2024-10-25 21:00
651K
exabgp-4.2.24-r0.apk
2025-03-15 23:11
385K
exabgp-doc-4.2.24-r0.apk
2025-03-15 23:11
8.0K
exabgp-openrc-4.2.24-r0.apk
2025-03-15 23:11
2.2K
exabgp-pyc-4.2.24-r0.apk
2025-03-15 23:11
778K
exercism-3.2.0-r11.apk
2025-04-13 23:31
4.2M
exercism-bash-completion-3.2.0-r11.apk
2025-04-13 23:31
2.0K
exercism-fish-completion-3.2.0-r11.apk
2025-04-13 23:31
2.4K
exercism-zsh-completion-3.2.0-r11.apk
2025-04-13 23:31
2.1K
extrace-0.9-r0.apk
2024-10-25 21:00
9.4K
extrace-doc-0.9-r0.apk
2024-10-25 21:00
3.5K
extremetuxracer-0.8.3-r0.apk
2024-10-25 21:00
40M
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 21:00
6.7K
extundelete-0.2.4-r1.apk
2024-10-25 21:00
39K
f_scripts-0.6-r1.apk
2024-10-25 21:00
1.4K
f_scripts-f_audio-0.6-r1.apk
2024-10-25 21:00
3.3K
f_scripts-f_files-0.6-r1.apk
2024-10-25 21:00
2.9K
f_scripts-f_game-0.6-r1.apk
2024-10-25 21:00
1.9K
f_scripts-f_maps-0.6-r1.apk
2024-10-25 21:00
2.1K
f_scripts-f_networks-0.6-r1.apk
2024-10-25 21:00
3.0K
f_scripts-f_phone-0.6-r1.apk
2024-10-25 21:00
6.0K
f_scripts-f_rss-0.6-r1.apk
2024-10-25 21:00
2.5K
f_scripts-f_theme-0.6-r1.apk
2024-10-25 21:00
2.6K
f_scripts-f_timer-0.6-r1.apk
2024-10-25 21:00
2.4K
f_scripts-f_web-0.6-r1.apk
2024-10-25 21:00
2.9K
f_scripts-f_youtube-0.6-r1.apk
2024-10-25 21:00
2.8K
fabric-3.2.2-r1.apk
2024-10-25 21:00
55K
fabric-pyc-3.2.2-r1.apk
2024-10-25 21:00
60K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 21:00
28K
fastd-23-r0.apk
2025-01-29 17:00
73K
fastd-doc-23-r0.apk
2025-01-29 17:00
3.3K
fastd-openrc-23-r0.apk
2025-01-29 17:00
1.7K
fatback-1.3-r2.apk
2024-10-25 21:00
28K
fatback-doc-1.3-r2.apk
2024-10-25 21:00
16K
fathom-1.3.1-r12.apk
2025-04-13 23:31
5.0M
fatrace-0.17.0-r0.apk
2024-10-25 21:00
10K
fatrace-doc-0.17.0-r0.apk
2024-10-25 21:00
3.3K
fatresize-1.1.0-r1.apk
2024-10-25 21:00
8.7K
fatresize-doc-1.1.0-r1.apk
2024-10-25 21:00
15K
faultstat-0.01.11-r0.apk
2024-10-25 21:00
12K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 21:00
2.3K
faultstat-doc-0.01.11-r0.apk
2024-10-25 21:00
3.0K
faust-2.60.3-r2.apk
2024-10-25 21:00
8.2M
faust-dev-2.60.3-r2.apk
2024-10-25 21:00
771K
faust-doc-2.60.3-r2.apk
2024-10-25 21:00
17M
faust-static-2.60.3-r2.apk
2024-10-25 21:00
522K
faust-tools-2.60.3-r2.apk
2024-10-25 21:00
118K
faust-vim-2.60.3-r2.apk
2024-10-25 21:00
2.6K
fava-1.28-r0.apk
2024-10-25 21:00
1.1M
fava-pyc-1.28-r0.apk
2024-10-25 21:00
164K
fbcur-1.0.1-r1.apk
2024-10-25 21:00
4.5K
fbcur-doc-1.0.1-r1.apk
2024-10-25 21:00
2.2K
fbp-0.5-r1.apk
2024-10-25 21:00
137K
fbvnc-0_git20220812-r0.apk
2024-10-25 21:00
9.4K
fceux-2.6.6-r2.apk
2024-10-25 21:00
2.9M
fceux-doc-2.6.6-r2.apk
2024-10-25 21:00
106K
fdm-materials-5.2.2-r1.apk
2024-10-25 21:00
60K
featherpad-1.5.1-r0.apk
2024-10-25 21:00
709K
featherpad-lang-1.5.1-r0.apk
2024-10-25 21:00
463K
felix-2.16.0-r1.apk
2025-03-14 00:56
624K
ff2mpv-rust-1.1.6-r0.apk
2025-03-27 08:12
213K
ff2mpv-rust-doc-1.1.6-r0.apk
2025-03-27 08:12
14K
fff-2.2-r0.apk
2024-10-25 21:00
11K
fff-doc-2.2-r0.apk
2024-10-25 21:00
9.0K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 21:00
345K
ffms2-5.0-r0.apk
2024-10-25 21:00
70K
ffms2-dev-5.0-r0.apk
2024-10-25 21:00
7.6K
ffms2-doc-5.0-r0.apk
2024-10-25 21:00
30K
ffsend-0.2.76-r4.apk
2024-10-25 21:00
1.5M
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 21:00
3.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 21:00
3.6K
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 21:00
4.6K
fheroes2-1.1.7-r0.apk
2025-03-27 07:28
1.7M
fheroes2-lang-1.1.7-r0.apk
2025-03-27 07:28
1.7M
fiery-2.0.0-r0.apk
2024-10-25 21:00
269K
fiery-lang-2.0.0-r0.apk
2024-10-25 21:00
54K
fildesh-0.2.0-r0.apk
2024-10-25 21:00
67K
fildesh-doc-0.2.0-r0.apk
2024-10-25 21:00
2.1K
fildesh-vim-0.2.0-r0.apk
2024-10-25 21:00
3.5K
filebrowser-2.27.0-r10.apk
2025-04-13 23:31
7.6M
filebrowser-openrc-2.27.0-r10.apk
2025-04-13 23:31
1.8K
fileshelter-6.2.0-r3.apk
2025-02-25 07:38
308K
fileshelter-openrc-6.2.0-r3.apk
2025-02-25 07:38
1.6K
filite-0.3.0-r2.apk
2024-10-25 21:00
1.1M
findtow-0.1-r0.apk
2024-10-25 21:00
4.8K
finger-0.5-r0.apk
2024-10-25 21:00
6.4K
finger-doc-0.5-r0.apk
2024-10-25 21:00
3.8K
firecracker-1.9.0-r0.apk
2024-10-25 21:00
1.3M
firecracker-doc-1.9.0-r0.apk
2024-10-25 21:00
1.6M
firecracker-rebase-snap-1.9.0-r0.apk
2024-10-25 21:00
168K
firecracker-seccompiler-1.9.0-r0.apk
2024-10-25 21:00
246K
firectl-0.2.0-r18.apk
2025-04-13 23:31
3.7M
firefox-developer-edition-138.0_beta9-r0.apk
2025-04-18 23:04
84M
firehol-3.1.7-r2.apk
2024-10-25 21:01
85K
firehol-doc-3.1.7-r2.apk
2024-10-25 21:01
675K
firehol-openrc-3.1.7-r2.apk
2024-10-25 21:01
2.1K
flang-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
20M
flang-next-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
831K
flang-next-static-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
43M
flann-1.9.2-r1.apk
2025-02-22 15:38
1.7M
flann-dev-1.9.2-r1.apk
2025-02-22 15:38
963K
flann-doc-1.9.2-r1.apk
2025-02-22 15:38
2.5K
flannel-0.26.4-r2.apk
2025-04-13 23:31
14M
flannel-contrib-cni-0.26.4-r2.apk
2025-04-13 23:31
4.3K
flannel-openrc-0.26.4-r2.apk
2025-04-13 23:31
2.0K
flare-engine-1.14-r0.apk
2024-10-25 21:01
4.4M
flare-engine-doc-1.14-r0.apk
2024-10-25 21:01
2.5K
flare-game-1.14-r0.apk
2024-10-25 21:01
2.2K
flatpak-xdg-utils-1.0.6-r0.apk
2024-10-25 21:01
19K
flauschige-uhr-0.1-r1.apk
2024-10-25 21:01
4.2K
flawz-0.3.0-r0.apk
2024-11-03 22:07
1.2M
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 22:07
2.1K
flawz-doc-0.3.0-r0.apk
2024-11-03 22:07
6.0K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 22:07
1.9K
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 22:07
2.2K
flightgear-2024.1.1-r0.apk
2025-03-05 01:17
11M
flightgear-bash-completion-2024.1.1-r0.apk
2025-03-05 01:17
5.5K
flightgear-dbg-2024.1.1-r0.apk
2025-03-05 01:17
22M
flightgear-doc-2024.1.1-r0.apk
2025-03-05 01:17
58K
flightgear-zsh-completion-2024.1.1-r0.apk
2025-03-05 01:17
7.3K
flint-2.9.0-r3.apk
2025-03-25 16:30
5.2M
flint-dev-2.9.0-r3.apk
2025-03-25 16:30
306K
flintqs-1.0-r1.apk
2024-10-25 21:01
20K
flowd-0.9.1-r10.apk
2024-10-25 21:01
78K
flowd-dev-0.9.1-r10.apk
2024-10-25 21:01
8.3K
flowd-doc-0.9.1-r10.apk
2024-10-25 21:01
10K
flowd-openrc-0.9.1-r10.apk
2024-10-25 21:01
1.9K
fluent-bit-3.1.10-r1.apk
2025-02-22 15:38
5.7M
fluent-bit-dev-3.1.10-r1.apk
2025-02-22 15:38
115K
fluent-bit-openrc-3.1.10-r1.apk
2025-02-22 15:38
1.6K
flutter-3.29.1-r1.apk
2025-04-03 02:11
1.2K
flutter-common-3.29.1-r1.apk
2025-04-03 02:11
40M
flutter-desktop-3.29.1-r1.apk
2025-04-03 02:11
26M
flutter-developer-3.29.1-r1.apk
2025-04-03 02:11
2.2M
flutter-glfw-3.29.1-r1.apk
2025-04-03 02:11
5.2M
flutter-gtk-3.29.1-r1.apk
2025-04-03 02:11
5.2M
flutter-tool-3.29.1-r1.apk
2025-04-03 02:11
15M
flutter-tool-developer-3.29.1-r1.apk
2025-04-03 02:11
1.6K
fnf-0.1-r0.apk
2024-10-25 21:01
16K
fnf-doc-0.1-r0.apk
2024-10-25 21:01
4.6K
fnm-1.38.1-r0.apk
2024-11-25 15:28
1.9M
fnm-bash-completion-1.38.1-r0.apk
2024-11-25 15:28
2.8K
fnm-fish-completion-1.38.1-r0.apk
2024-11-25 15:28
4.3K
fnm-zsh-completion-1.38.1-r0.apk
2024-11-25 15:28
4.3K
foma-0.10.0_git20240712-r0.apk
2024-10-25 21:01
331K
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 21:01
8.5K
font-anonymous-pro-1.002-r2.apk
2024-10-25 21:01
264K
font-aref-ruqaa-1.006-r0.apk
2025-04-13 23:31
357K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 21:01
18M
font-cascadia-2407.24-r0.apk
2025-04-13 23:56
1.3K
font-cascadia-code-2407.24-r0.apk
2025-04-13 23:56
526K
font-cascadia-mono-2407.24-r0.apk
2025-04-13 23:56
507K
font-chivo-0_git20221110-r0.apk
2024-10-25 21:01
792K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 21:01
626K
font-comic-neue-2.51-r0.apk
2024-10-25 21:01
249K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 21:01
1.0M
font-commit-mono-1.143-r0.apk
2024-10-25 21:01
251K
font-cousine-0_git20210228-r0.apk
2024-10-25 21:01
110K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 21:01
1.2K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 21:01
5.5K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 21:01
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 21:01
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 21:01
316K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 21:01
316K
font-fira-4.202-r0.apk
2024-10-25 21:01
1.2K
font-fira-code-6.2-r0.apk
2024-10-25 21:01
836K
font-fira-code-vf-6.2-r0.apk
2024-10-25 21:01
145K
font-fira-otf-4.202-r0.apk
2024-10-25 21:01
7.4M
font-fira-ttf-4.202-r0.apk
2024-10-25 21:01
6.2M
font-firamath-0.3.4-r0.apk
2024-10-25 21:01
118K
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 21:01
205K
font-hanazono-20170904-r1.apk
2024-10-25 21:01
29M
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 21:01
281K
font-katex-0.16.2-r0.apk
2024-10-25 21:01
852K
font-material-icons-4.0.0-r0.apk
2024-10-25 21:01
652K
font-monaspace-1.101-r0.apk
2024-10-25 21:01
1.5K
font-monaspace-argon-1.101-r0.apk
2024-10-25 21:01
2.2M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 21:01
2.1M
font-monaspace-neon-1.101-r0.apk
2024-10-25 21:01
2.1M
font-monaspace-radon-1.101-r0.apk
2024-10-25 21:01
2.7M
font-monaspace-xenon-1.101-r0.apk
2024-10-25 21:01
2.3M
font-monocraft-4.0-r0.apk
2024-10-25 21:01
677K
font-openmoji-15.0.0-r0.apk
2024-12-24 23:52
3.5M
font-siji-20190218_git-r2.apk
2024-10-25 21:01
24K
font-stix-otf-2.13-r0.apk
2024-10-25 21:01
2.0M
font-stix-ttf-2.13-r0.apk
2024-10-25 21:01
430K
font-tamzen-1.11.5-r1.apk
2024-10-25 21:01
62K
font-tinos-0_git20210228-r0.apk
2024-10-25 21:01
199K
font-tiresias-0_git20200704-r0.apk
2024-10-25 21:01
568K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 21:01
58K
foolsm-1.0.21-r0.apk
2024-10-25 21:01
33K
foolsm-doc-1.0.21-r0.apk
2024-10-25 21:01
3.9K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 21:01
1.5K
fpc-3.2.2-r4.apk
2024-10-25 21:01
71M
fpc-doc-3.2.2-r4.apk
2024-10-25 21:01
1.2M
fpc-stage0-3.2.2-r3.apk
2024-10-25 21:01
6.3M
fplll-5.5.0-r0.apk
2024-11-17 05:04
49K
fplll-dev-5.5.0-r0.apk
2024-11-17 05:04
78K
fplll-libs-5.5.0-r0.apk
2024-11-17 05:04
5.2M
fplll-static-5.5.0-r0.apk
2024-11-17 05:04
5.9M
fplll-strategies-5.5.0-r0.apk
2024-11-17 05:04
1.7M
fpp-0.9.5-r0.apk
2024-10-25 21:01
29K
fpp-doc-0.9.5-r0.apk
2024-10-25 21:01
5.6K
fq-0.13.0-r4.apk
2025-04-13 23:31
4.5M
freealut-1.1.0-r1.apk
2024-10-25 21:01
18K
freealut-dev-1.1.0-r1.apk
2024-10-25 21:01
23K
freecad-1.0.0-r2.apk
2025-02-22 15:38
107M
freecad-dev-1.0.0-r2.apk
2025-02-22 15:38
667K
freecad-doc-1.0.0-r2.apk
2025-02-22 15:38
13K
freediameter-1.5.0-r1.apk
2024-10-25 21:01
9.5K
freediameter-dev-1.5.0-r1.apk
2024-10-25 21:01
54K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 21:01
391K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 21:01
176K
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 21:01
94K
freetube-0.23.3-r0.apk
2025-04-04 08:07
1.6M
frescobaldi-3.3.0-r1.apk
2024-10-25 21:01
3.5M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 21:01
2.5K
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 21:01
1.2M
freshrss-1.23.1-r1.apk
2024-10-25 21:01
1.5M
freshrss-doc-1.23.1-r1.apk
2024-10-25 21:01
751K
freshrss-lang-1.23.1-r1.apk
2024-10-25 21:01
379K
freshrss-mysql-1.23.1-r1.apk
2024-10-25 21:01
1.5K
freshrss-openrc-1.23.1-r1.apk
2024-10-25 21:01
2.5K
freshrss-pgsql-1.23.1-r1.apk
2024-10-25 21:01
1.5K
freshrss-sqlite-1.23.1-r1.apk
2024-10-25 21:01
1.5K
freshrss-themes-1.23.1-r1.apk
2024-10-25 21:01
1.5M
fulcrum-1.9.8-r1.apk
2024-10-25 21:01
937K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 21:01
7.9K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 21:01
22K
fungw-1.2.1-r0.apk
2024-12-30 10:48
14K
fungw-c-1.2.1-r0.apk
2024-12-30 10:48
8.3K
fungw-cli-1.2.1-r0.apk
2024-12-30 10:48
24K
fungw-dev-1.2.1-r0.apk
2024-12-30 10:48
7.6K
fungw-doc-1.2.1-r0.apk
2024-12-30 10:48
13K
fungw-duktape-1.2.1-r0.apk
2024-12-30 10:48
18K
fungw-fawk-1.2.1-r0.apk
2024-12-30 10:48
115K
fungw-lua-1.2.1-r0.apk
2024-12-30 10:48
16K
fungw-mujs-1.2.1-r0.apk
2024-12-30 10:48
18K
fungw-perl-1.2.1-r0.apk
2024-12-30 10:48
48K
fungw-python3-1.2.1-r0.apk
2024-12-30 10:48
27K
fungw-tcl-1.2.1-r0.apk
2024-12-30 10:48
13K
fusee-nano-0.5.3-r1.apk
2024-10-25 21:01
21K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 21:01
1.7K
fuseiso-20070708-r0.apk
2025-04-14 03:40
15K
fuseiso-doc-20070708-r0.apk
2025-04-14 03:40
2.6K
fusesoc-2.3-r0.apk
2024-10-25 21:01
46K
fusesoc-pyc-2.3-r0.apk
2024-10-25 21:01
89K
futhark-0.25.28-r0.apk
2025-03-12 02:07
21M
fuzzylite-6.0-r2.apk
2025-01-31 22:53
4.1K
fuzzylite-dev-6.0-r2.apk
2025-01-31 22:53
68K
fuzzylite-doc-6.0-r2.apk
2025-01-31 22:53
2.1K
fuzzylite-libs-6.0-r2.apk
2025-01-31 22:53
345K
fwallet-1.2.0-r3.apk
2025-03-21 17:20
8.1M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 21:01
5.5M
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 21:01
1.1M
fyi-1.0.4-r0.apk
2024-10-25 21:01
9.4K
fyi-bash-completion-1.0.4-r0.apk
2024-10-25 21:01
1.8K
fyi-doc-1.0.4-r0.apk
2024-10-25 21:01
7.0K
fyi-fish-completion-1.0.4-r0.apk
2024-10-25 21:01
2.2K
fzy-1.0-r3.apk
2024-10-25 21:01
14K
fzy-doc-1.0-r3.apk
2024-10-25 21:01
2.7K
gambit-4.9.5-r1.apk
2025-04-07 10:30
10M
gambit-dev-4.9.5-r1.apk
2025-04-07 10:30
6.7M
gambit-doc-4.9.5-r1.apk
2025-04-07 10:30
4.3K
game-devices-udev-0.23-r0.apk
2024-11-12 11:57
6.2K
gamemode-1.8.2-r0.apk
2025-02-06 00:30
71K
gamemode-dev-1.8.2-r0.apk
2025-02-06 00:30
5.1K
gamemode-doc-1.8.2-r0.apk
2025-02-06 00:30
7.5K
gamja-1.0.0_beta9-r0.apk
2024-10-25 21:01
599K
gamja-doc-1.0.0_beta9-r0.apk
2024-10-25 21:01
2.2K
gammastep-2.0.9-r3.apk
2024-10-25 21:01
89K
gammastep-doc-2.0.9-r3.apk
2024-10-25 21:01
14K
gammastep-lang-2.0.9-r3.apk
2024-10-25 21:01
78K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 21:01
17K
gatling-0.16-r6.apk
2024-10-25 21:01
142K
gatling-doc-0.16-r6.apk
2024-10-25 21:01
9.1K
gatling-openrc-0.16-r6.apk
2024-10-25 21:01
2.8K
gaupol-1.12-r2.apk
2024-10-25 21:01
276K
gaupol-doc-1.12-r2.apk
2024-10-25 21:01
2.4K
gaupol-lang-1.12-r2.apk
2024-10-25 21:01
277K
gaupol-pyc-1.12-r2.apk
2024-10-25 21:01
419K
gb-0.4.4-r30.apk
2025-04-13 23:31
7.3M
gcli-2.6.1-r0.apk
2025-01-26 19:14
120K
gcli-doc-2.6.1-r0.apk
2025-01-26 19:14
28K
gdb-dashboard-0.17.4-r0.apk
2025-03-01 22:36
23K
gdb-dashboard-doc-0.17.4-r0.apk
2025-03-01 22:36
3.0K
gdcm-3.0.24-r0.apk
2024-10-25 21:01
373K
gdcm-dev-3.0.24-r0.apk
2024-10-25 21:01
463K
gdcm-doc-3.0.24-r0.apk
2024-10-25 21:01
55K
gdcm-doc-html-3.0.24-r0.apk
2024-10-25 21:01
8.7M
gdcm-doc-pdf-3.0.24-r0.apk
2024-10-25 21:01
14M
gearman-dev-1.1.21-r1.apk
2024-10-25 21:01
1.1M
gearman-libs-1.1.21-r1.apk
2024-10-25 21:01
79K
gearmand-1.1.21-r1.apk
2024-10-25 21:01
180K
gearmand-doc-1.1.21-r1.apk
2024-10-25 21:01
190K
gearmand-openrc-1.1.21-r1.apk
2024-10-25 21:01
1.8K
gede-2.18.2-r1.apk
2024-10-25 21:01
261K
genact-1.4.2-r0.apk
2024-10-25 21:01
1.4M
geoclue-stumbler-0.2.0-r0.apk
2024-12-31 13:56
26K
geodns-3.3.0-r12.apk
2025-04-13 23:31
5.0M
geodns-logs-3.3.0-r12.apk
2025-04-13 23:31
4.5M
geodns-openrc-3.3.0-r12.apk
2025-04-13 23:31
1.8K
geomyidae-0.34-r2.apk
2024-10-25 21:01
15K
geomyidae-doc-0.34-r2.apk
2024-10-25 21:01
7.7K
geomyidae-openrc-0.34-r2.apk
2024-10-25 21:01
2.0K
geonames-0.3.1-r2.apk
2024-10-25 21:01
827K
geonames-dev-0.3.1-r2.apk
2024-10-25 21:01
3.0K
geonames-doc-0.3.1-r2.apk
2024-10-25 21:01
13K
geonames-lang-0.3.1-r2.apk
2024-10-25 21:01
4.6M
geotagging-0.7.4-r0.apk
2024-11-12 12:18
440K
getmail6-6.19.07-r0.apk
2025-01-26 16:48
71K
getmail6-doc-6.19.07-r0.apk
2025-01-26 16:48
137K
getmail6-pyc-6.19.07-r0.apk
2025-01-26 16:48
105K
getssl-2.48-r0.apk
2024-10-25 21:01
82K
getting-things-gnome-0.6-r4.apk
2024-12-08 22:48
715K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 22:48
497K
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 22:48
229K
gf2x-1.3.0-r1.apk
2024-10-25 21:01
40K
gf2x-dev-1.3.0-r1.apk
2024-10-25 21:01
68K
gfan-0.6.2-r1.apk
2024-10-25 21:01
1.6M
ghc-filesystem-1.5.14-r0.apk
2024-10-25 21:01
39K
ghidra-11.2-r0.apk
2024-10-31 14:40
352M
ghidra-doc-11.2-r0.apk
2024-10-31 14:40
36M
ghidra-tutorials-11.2-r0.apk
2024-10-31 14:40
3.9M
ghostcloud-0.9.9.5-r2.apk
2024-10-25 21:01
443K
ghostty-1.1.2_git20250320-r1.apk
2025-03-22 22:33
19M
ghostty-bash-completion-1.1.2_git20250320-r1.apk
2025-03-22 22:33
5.6K
ghostty-doc-1.1.2_git20250320-r1.apk
2025-03-22 22:33
2.2K
ghostty-fish-completion-1.1.2_git20250320-r1.apk
2025-03-22 22:33
3.8K
ghostty-lang-1.1.2_git20250320-r1.apk
2025-03-22 22:33
6.1K
ghostty-zsh-completion-1.1.2_git20250320-r1.apk
2025-03-22 22:33
3.9K
ghq-1.7.1-r4.apk
2025-04-13 23:31
3.9M
ghq-bash-completion-1.7.1-r4.apk
2025-04-13 23:31
1.8K
ghq-doc-1.7.1-r4.apk
2025-04-13 23:31
5.4K
ghq-fish-completion-1.7.1-r4.apk
2025-04-13 23:31
2.5K
ghq-zsh-completion-1.7.1-r4.apk
2025-04-13 23:31
2.5K
gimp-plugin-gmic-3.3.5-r1.apk
2024-11-22 00:30
1.4M
ginac-1.8.8-r0.apk
2025-03-21 17:21
1.1M
ginac-dev-1.8.8-r0.apk
2025-03-21 17:21
68K
ginac-doc-1.8.8-r0.apk
2025-03-21 17:21
97K
ginger-2.4.0-r7.apk
2024-10-25 21:01
257K
ginger-lang-2.4.0-r7.apk
2024-10-25 21:01
125K
ginger-pyc-2.4.0-r7.apk
2024-10-25 21:01
207K
gingerbase-2.3.0-r7.apk
2024-10-25 21:01
195K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 21:01
53K
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 21:01
61K
git-bug-0.8.0-r18.apk
2025-04-13 23:31
9.5M
git-bug-bash-completion-0.8.0-r18.apk
2025-04-13 23:31
5.2K
git-bug-doc-0.8.0-r18.apk
2025-04-13 23:31
17K
git-bug-fish-completion-0.8.0-r18.apk
2025-04-13 23:31
3.9K
git-bug-zsh-completion-0.8.0-r18.apk
2025-04-13 23:31
4.0K
git-cola-4.12.0-r0.apk
2025-02-23 21:12
862K
git-cola-doc-4.12.0-r0.apk
2025-02-23 21:12
5.8K
git-cola-pyc-4.12.0-r0.apk
2025-02-23 21:12
774K
git-extras-7.3.0-r0.apk
2024-11-17 13:49
55K
git-extras-bash-completion-7.3.0-r0.apk
2024-11-17 13:49
2.8K
git-extras-doc-7.3.0-r0.apk
2024-11-17 13:49
63K
git-graph-0.6.0-r0.apk
2024-11-26 00:38
860K
git-graph-doc-0.6.0-r0.apk
2024-11-26 00:38
6.2K
git-quick-stats-2.5.8-r0.apk
2024-10-25 21:01
12K
git-quick-stats-doc-2.5.8-r0.apk
2024-10-25 21:01
2.9K
git-revise-0.7.0-r5.apk
2024-10-25 21:01
24K
git-revise-doc-0.7.0-r5.apk
2024-10-25 21:01
5.0K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 21:01
42K
git-secret-0.5.0-r0.apk
2024-10-25 21:01
15K
git-secret-doc-0.5.0-r0.apk
2024-10-25 21:01
17K
git2json-0.2.3-r8.apk
2024-10-25 21:01
7.4K
git2json-pyc-0.2.3-r8.apk
2024-10-25 21:01
5.7K
gitoxide-0.14.0-r1.apk
2024-10-25 21:01
2.7M
gkrellm-2.3.11-r0.apk
2025-01-09 00:55
353K
gkrellm-dev-2.3.11-r0.apk
2025-01-09 00:55
17K
gkrellm-doc-2.3.11-r0.apk
2025-01-09 00:55
19K
gkrellm-lang-2.3.11-r0.apk
2025-01-09 00:55
379K
gkrellm-server-2.3.11-r0.apk
2025-01-09 00:55
51K
glfw-wayland-3.3.8-r3.apk
2024-10-25 21:01
61K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 21:01
195K
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 21:01
46K
gliderlabs-sigil-0.11.0-r4.apk
2025-04-13 23:31
3.3M
gliderlabs-sigil-doc-0.11.0-r4.apk
2025-04-13 23:31
2.4K
glmark2-2023.01-r1.apk
2024-10-25 21:01
7.9M
glmark2-doc-2023.01-r1.apk
2024-10-25 21:01
13K
gloox-1.0.28-r0.apk
2024-10-25 21:01
364K
gloox-dev-1.0.28-r0.apk
2024-10-25 21:01
878K
glow-2.1.0-r2.apk
2025-04-13 23:31
6.1M
glow-bash-completion-2.1.0-r2.apk
2025-04-13 23:31
6.1K
glow-doc-2.1.0-r2.apk
2025-04-13 23:31
3.2K
glow-fish-completion-2.1.0-r2.apk
2025-04-13 23:31
4.3K
glow-zsh-completion-2.1.0-r2.apk
2025-04-13 23:31
4.1K
glslviewer-3.2.4-r1.apk
2025-02-09 00:48
1.8M
gmcapsule-0.9.7-r0.apk
2025-01-08 20:12
36K
gmcapsule-openrc-0.9.7-r0.apk
2025-01-08 20:12
1.9K
gmcapsule-pyc-0.9.7-r0.apk
2025-01-08 20:12
61K
gmenuharness-0.1.4-r2.apk
2025-02-22 15:38
37K
gmenuharness-dev-0.1.4-r2.apk
2025-02-22 15:38
4.1K
gmic-3.3.5-r1.apk
2024-11-22 00:30
11M
gmic-bash-completion-3.3.5-r1.apk
2024-11-22 00:30
28K
gmic-dev-3.3.5-r1.apk
2024-11-22 00:30
7.7K
gmic-doc-3.3.5-r1.apk
2024-11-22 00:30
219K
gmic-libs-3.3.5-r1.apk
2024-11-22 00:30
4.6M
gmic-qt-3.3.5-r1.apk
2024-11-22 00:30
1.7M
gmid-2.1.1-r0.apk
2024-11-27 20:26
221K
gmid-doc-2.1.1-r0.apk
2024-11-27 20:26
14K
gmid-openrc-2.1.1-r0.apk
2024-11-27 20:26
2.2K
gmsh-4.12.2-r2.apk
2024-10-25 21:01
8.9M
gmsh-dbg-4.12.2-r2.apk
2024-10-25 21:01
144M
gmsh-doc-4.12.2-r2.apk
2024-10-25 21:01
1.9M
gmsh-py-4.12.2-r2.apk
2024-10-25 21:01
6.7K
gnome-common-3.18.0-r3.apk
2024-10-25 21:01
12K
gnome-latex-3.48.0-r0.apk
2025-04-08 00:01
364K
gnome-latex-doc-3.48.0-r0.apk
2025-04-08 00:01
108K
gnome-latex-lang-3.48.0-r0.apk
2025-04-08 00:01
530K
gnome-metronome-1.3.0-r0.apk
2024-10-25 21:01
458K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 21:01
25K
gnome-user-share-48.0-r0.apk
2025-03-21 17:21
338K
gnome-user-share-lang-48.0-r0.apk
2025-03-21 17:21
67K
gnu-apl-1.9-r0.apk
2024-10-25 21:01
1.2M
gnu-apl-dev-1.9-r0.apk
2024-10-25 21:01
589K
gnu-apl-doc-1.9-r0.apk
2024-10-25 21:01
1.6M
go-jsonnet-0.20.0-r13.apk
2025-04-13 23:31
6.6M
go-mtpfs-1.0.0-r26.apk
2025-04-13 23:31
1.2M
go-passbolt-cli-0.3.2-r2.apk
2025-04-13 23:31
6.3M
goawk-1.29.1-r4.apk
2025-04-13 23:31
1.3M
goawk-doc-1.29.1-r4.apk
2025-04-13 23:31
44K
gobang-0.1.0_alpha5-r1.apk
2024-10-25 21:01
1.9M
gobuster-3.6.0-r11.apk
2025-04-13 23:31
3.7M
godot-4.3-r5.apk
2025-04-10 23:03
46M
godot-doc-4.3-r5.apk
2025-04-10 23:03
4.6K
godot-templates-4.3-r5.apk
2025-04-10 23:03
42M
goguma-0.7.0-r0.apk
2025-03-21 17:21
4.4M
gomp-1.0.0-r11.apk
2025-04-13 23:31
3.7M
goomwwm-1.0.0-r5.apk
2024-10-25 21:01
46K
goreman-0.3.15-r12.apk
2025-04-13 23:31
2.5M
gortr-0.14.8-r12.apk
2025-04-13 23:31
11M
gortr-openrc-0.14.8-r12.apk
2025-04-13 23:31
2.0K
goshs-1.0.3-r0.apk
2025-04-20 07:14
6.0M
goshs-doc-1.0.3-r0.apk
2025-04-20 07:14
2.3K
gossip-0.14.0-r0.apk
2025-03-21 17:21
28M
gossip-doc-0.14.0-r0.apk
2025-03-21 17:21
22K
gost-2.12.0-r5.apk
2025-04-13 23:31
5.9M
gost-doc-2.12.0-r5.apk
2025-04-13 23:31
2.3K
gosu-1.17-r9.apk
2025-04-13 23:31
1.1M
gotestsum-1.12.1-r2.apk
2025-04-13 23:31
2.5M
gotify-2.5.0-r5.apk
2025-04-13 23:31
10M
gotify-cli-2.3.2-r4.apk
2025-04-13 23:31
4.4M
gotify-openrc-2.5.0-r5.apk
2025-04-13 23:31
2.0K
goxel-0.15.1-r0.apk
2024-10-25 21:01
1.7M
gpa-0.10.0-r2.apk
2024-10-25 21:01
241K
gpa-doc-0.10.0-r2.apk
2024-10-25 21:01
2.9K
gperftools-2.16-r1.apk
2025-04-06 20:36
121K
gperftools-dev-2.16-r1.apk
2025-04-06 20:36
782K
gperftools-doc-2.16-r1.apk
2025-04-06 20:36
307K
gpg-remailer-3.04.07-r1.apk
2024-10-25 21:01
45K
gpg-remailer-doc-3.04.07-r1.apk
2024-10-25 21:01
9.5K
gprbuild-22.0.0-r3.apk
2024-10-25 21:01
12M
gpsbabel-1.9.0-r0.apk
2024-10-25 21:01
1.3M
gpsbabel-lang-1.9.0-r0.apk
2024-10-25 21:01
88K
gpscorrelate-2.3-r0.apk
2025-03-27 07:36
51K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 07:36
26K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 07:36
285K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 07:36
17K
gr-satellites-5.5.0-r5.apk
2025-04-09 04:05
496K
gr-satellites-dev-5.5.0-r5.apk
2025-04-09 04:05
13K
gr-satellites-doc-5.5.0-r5.apk
2025-04-09 04:05
4.5K
grafana-image-renderer-3.12.4-r0.apk
2025-04-20 22:30
70M
grafana-image-renderer-openrc-3.12.4-r0.apk
2025-04-20 22:30
2.0K
granite7-7.5.0-r0.apk
2024-10-25 21:01
119K
granite7-dev-7.5.0-r0.apk
2024-10-25 21:01
41K
granite7-lang-7.5.0-r0.apk
2024-10-25 21:01
52K
grcov-0.8.20-r0.apk
2024-11-11 11:06
1.9M
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 21:01
19K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 21:01
2.2K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 21:01
3.3K
grip-4.2.4-r0.apk
2024-10-25 21:01
381K
grip-doc-4.2.4-r0.apk
2024-10-25 21:01
6.2K
grip-lang-4.2.4-r0.apk
2024-10-25 21:01
144K
gron-0.7.1-r23.apk
2025-04-13 23:31
2.6M
grpc-health-check-0.1.1-r3.apk
2024-10-25 21:01
1.0M
grpcui-1.4.3-r2.apk
2025-04-13 23:31
8.9M
grpcurl-1.9.3-r1.apk
2025-04-13 23:31
8.5M
gsettings-qt-1.0.0-r0.apk
2025-03-14 18:12
27K
gsettings-qt-dev-1.0.0-r0.apk
2025-03-14 18:12
3.5K
gsimplecal-2.5.1-r0.apk
2024-10-25 21:01
16K
gsimplecal-doc-2.5.1-r0.apk
2024-10-25 21:01
5.8K
gssdp-1.6.3-r0.apk
2024-12-01 13:57
45K
gssdp-dev-1.6.3-r0.apk
2024-12-01 13:57
15K
gst-rtsp-server-1.24.12-r0.apk
2025-02-22 15:38
233K
gst-rtsp-server-dev-1.24.12-r0.apk
2025-02-22 15:38
93K
gstreamermm-1.10.0-r6.apk
2025-02-22 15:38
464K
gstreamermm-dev-1.10.0-r6.apk
2025-02-22 15:38
310K
gtk-session-lock-0.2.0-r0.apk
2025-01-31 17:16
35K
gtk-session-lock-dev-0.2.0-r0.apk
2025-01-31 17:16
5.3K
gtkhash-1.5-r0.apk
2024-10-25 21:01
87K
gtkhash-lang-1.5-r0.apk
2024-10-25 21:01
47K
gtklock-4.0.0-r0.apk
2025-01-31 17:16
18K
gtklock-doc-4.0.0-r0.apk
2025-01-31 17:16
3.0K
gtkwave-3.3.120-r0.apk
2024-10-25 21:01
2.5M
gtkwave-doc-3.3.120-r0.apk
2024-10-25 21:01
27K
guake-3.10-r1.apk
2024-10-25 21:01
305K
guake-lang-3.10-r1.apk
2024-10-25 21:01
188K
guake-pyc-3.10-r1.apk
2024-10-25 21:01
186K
guestfs-tools-1.52.0-r1.apk
2024-10-25 21:01
274K
guetzli-0_git20191025-r1.apk
2024-10-25 21:01
151K
guetzli-dev-0_git20191025-r1.apk
2024-10-25 21:01
2.3M
gufw-24.04-r3.apk
2024-11-19 22:49
596K
gufw-doc-24.04-r3.apk
2024-11-19 22:49
4.5K
gufw-lang-24.04-r3.apk
2024-11-19 22:49
855K
gufw-pyc-24.04-r3.apk
2024-11-19 22:49
65K
guish-2.6.11-r0.apk
2024-12-24 11:42
99K
guish-doc-2.6.11-r0.apk
2024-12-24 11:42
61K
gupnp-1.6.8-r0.apk
2025-01-20 15:47
88K
gupnp-av-0.14.3-r0.apk
2025-01-16 00:26
79K
gupnp-av-dev-0.14.3-r0.apk
2025-01-16 00:26
41K
gupnp-dev-1.6.8-r0.apk
2025-01-20 15:47
50K
gupnp-dlna-0.12.0-r0.apk
2024-12-01 13:57
65K
gupnp-dlna-dev-0.12.0-r0.apk
2024-12-01 13:57
24K
gupnp-doc-1.6.8-r0.apk
2025-01-20 15:47
3.7K
gx-0.14.3-r29.apk
2025-04-13 23:31
4.8M
gx-doc-0.14.3-r29.apk
2025-04-13 23:31
2.3K
gx-go-1.9.0-r31.apk
2025-04-13 23:31
5.1M
gx-go-doc-1.9.0-r31.apk
2025-04-13 23:31
2.3K
gxlimg-0_git20240711-r0.apk
2025-01-21 20:45
21K
h4h5tools-2.2.5-r4.apk
2024-10-25 21:01
108K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 21:01
8.8K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 21:01
2.7K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 21:01
107K
habitctl-0.1.0-r2.apk
2024-10-25 21:01
324K
halp-0.2.0-r0.apk
2024-10-25 21:01
1.1M
halp-bash-completion-0.2.0-r0.apk
2024-10-25 21:01
2.2K
halp-doc-0.2.0-r0.apk
2024-10-25 21:01
6.9K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 21:01
2.0K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 21:01
2.4K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 21:01
156K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 21:01
2.0K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 21:01
116K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 21:01
206K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 21:01
358K
handlebars-1.0.0-r1.apk
2024-10-25 21:01
106K
handlebars-dev-1.0.0-r1.apk
2024-10-25 21:01
32K
handlebars-utils-1.0.0-r1.apk
2024-10-25 21:01
10K
hardened-malloc-13-r0.apk
2024-10-25 21:01
34K
hare-cairo-0_git20240217-r1.apk
2024-11-29 01:08
59K
hare-compress-0_git20240623-r1.apk
2024-11-29 01:08
413K
hare-harfbuzz-0_git20240715-r1.apk
2024-11-29 01:08
18K
hare-http-0_git20240419-r0.apk
2024-11-29 14:19
12K
hare-madeline-0.1_git20240505-r1.apk
2024-11-29 01:08
25K
hare-madeline-doc-0.1_git20240505-r1.apk
2024-11-29 01:08
2.2K
hare-message-0_git20240425-r0.apk
2024-11-29 14:19
8.5K
hare-scfg-0.24.2-r1.apk
2024-11-29 01:08
4.0K
hare-unicode-0_git20240417-r1.apk
2024-11-29 01:08
25K
hare-xkb-0_git20231011-r1.apk
2024-11-29 01:08
25K
haredo-1.0.5-r1.apk
2024-11-29 01:08
122K
haredo-doc-1.0.5-r1.apk
2024-11-29 01:08
4.7K
hari-0_git20240715-r0.apk
2024-10-25 21:01
22K
harminv-1.4.2-r1.apk
2024-10-25 21:01
7.6K
harminv-dev-1.4.2-r1.apk
2024-10-25 21:01
3.1K
harminv-doc-1.4.2-r1.apk
2024-10-25 21:01
5.7K
harminv-libs-1.4.2-r1.apk
2024-10-25 21:01
14K
hashcat-6.2.6-r0.apk
2024-10-25 21:01
61M
hashcat-doc-6.2.6-r0.apk
2024-10-25 21:01
2.1M
haskell-language-server-2.9.0.0-r0.apk
2024-10-25 21:01
68M
hatch-1.14.1-r0.apk
2025-04-10 16:09
102K
hatch-pyc-1.14.1-r0.apk
2025-04-10 16:09
216K
hatop-0.8.2-r0.apk
2024-10-25 21:01
18K
hatop-doc-0.8.2-r0.apk
2024-10-25 21:01
3.0K
haxe-4.3.3-r1.apk
2025-03-22 15:35
10M
haxe-doc-4.3.3-r1.apk
2025-03-22 15:35
7.7K
hctl-0.2.6-r0.apk
2024-10-25 21:01
1.2M
hddfancontrol-1.6.2-r0.apk
2024-10-25 21:01
33K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 21:01
2.2K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 21:01
34K
hdf4-4.2.15-r2.apk
2024-10-25 21:01
254K
hdf4-dev-4.2.15-r2.apk
2024-10-25 21:01
101K
hdf4-doc-4.2.15-r2.apk
2024-10-25 21:01
6.0K
hdf4-tools-4.2.15-r2.apk
2024-10-25 21:01
186K
heh-0.6.1-r0.apk
2024-10-25 21:01
472K
heh-doc-0.6.1-r0.apk
2024-10-25 21:01
4.1K
heisenbridge-1.15.3-r0.apk
2025-04-20 07:14
67K
heisenbridge-pyc-1.15.3-r0.apk
2025-04-20 07:14
155K
helm-diff-3.9.13-r4.apk
2025-04-13 23:31
22M
helm-ls-0.0.12-r8.apk
2025-04-13 23:31
11M
helm-ls-doc-0.0.12-r8.apk
2025-04-13 23:31
2.3K
helm-mapkubeapis-0.5.2-r4.apk
2025-04-13 23:31
20M
helm-unittest-0.7.1-r4.apk
2025-04-13 23:31
12M
helmfile-0.171.0-r1.apk
2025-04-13 23:31
48M
helmfile-bash-completion-0.171.0-r1.apk
2025-04-13 23:31
5.1K
helmfile-doc-0.171.0-r1.apk
2025-04-13 23:31
2.3K
helmfile-fish-completion-0.171.0-r1.apk
2025-04-13 23:31
4.3K
helmfile-zsh-completion-0.171.0-r1.apk
2025-04-13 23:31
4.1K
helvum-0.5.1-r0.apk
2024-10-25 21:01
313K
herbe-1.0.0-r0.apk
2024-10-25 21:01
5.8K
hex-0.6.0-r0.apk
2024-10-25 21:01
293K
hexdiff-0.0.53-r2.apk
2024-10-25 21:01
15K
hexdiff-doc-0.0.53-r2.apk
2024-10-25 21:01
3.7K
hexedit-1.6_git20230905-r0.apk
2024-10-25 21:01
16K
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 21:01
5.6K
hexer-1.4.0-r16.apk
2024-11-08 05:49
56K
hexer-dev-1.4.0-r16.apk
2024-11-08 05:49
7.3K
hfst-3.16.2-r0.apk
2025-04-03 02:11
1.4M
hfst-dev-3.16.2-r0.apk
2025-04-03 02:11
209K
hfst-doc-3.16.2-r0.apk
2025-04-03 02:11
70K
hfst-libs-3.16.2-r0.apk
2025-04-03 02:11
1.9M
hiawatha-11.6-r0.apk
2024-10-25 21:01
181K
hiawatha-doc-11.6-r0.apk
2024-10-25 21:01
21K
hiawatha-letsencrypt-11.6-r0.apk
2024-10-25 21:01
18K
hiawatha-openrc-11.6-r0.apk
2024-10-25 21:01
1.7K
hickory-dns-0.25.1-r0.apk
2025-04-14 01:26
3.1M
hickory-dns-openrc-0.25.1-r0.apk
2025-04-14 01:26
1.9K
hickory-dns-utils-0.25.1-r0.apk
2025-04-14 01:26
7.6M
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 21:01
74K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 21:01
126K
highctidh-1.0.2024092800-r0.apk
2024-11-25 21:24
302K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 21:24
326K
highfive-2.10.1-r0.apk
2025-01-15 03:50
75K
hikari-2.3.3-r6.apk
2024-10-25 21:01
941K
hikari-doc-2.3.3-r6.apk
2024-10-25 21:01
14K
hikari-unlocker-2.3.3-r6.apk
2024-10-25 21:01
4.0K
hilbish-2.3.4-r4.apk
2025-04-13 23:31
3.5M
hilbish-doc-2.3.4-r4.apk
2025-04-13 23:31
25K
himitsu-firefox-0.6-r1.apk
2024-11-29 01:08
168K
himitsu-git-0.3.0-r0.apk
2024-10-25 21:01
108K
himitsu-keyring-0.2.0-r0.apk
2024-10-25 21:01
13K
hiprompt-gtk-py-0.8.0-r0.apk
2024-10-25 21:01
8.3K
hitide-0.15.0-r0.apk
2024-10-25 21:01
1.9M
hitide-openrc-0.15.0-r0.apk
2024-10-25 21:01
2.1K
homebank-5.9-r0.apk
2025-04-11 00:46
2.0M
homebank-lang-5.9-r0.apk
2025-04-11 00:46
942K
hopalong-0.1-r3.apk
2024-10-25 21:01
23K
horizon-0.9.6-r9.apk
2024-10-25 21:01
199K
horizon-dbg-0.9.6-r9.apk
2024-10-25 21:01
4.0M
horizon-dev-0.9.6-r9.apk
2024-10-25 21:01
4.9K
horizon-doc-0.9.6-r9.apk
2024-10-25 21:01
21K
horizon-image-0.9.6-r9.apk
2024-10-25 21:01
66K
horizon-tools-0.9.6-r9.apk
2024-10-25 21:01
79K
horust-0.1.7-r2.apk
2024-10-25 21:01
1.0M
horust-doc-0.1.7-r2.apk
2024-10-25 21:01
9.2K
howard-bc-7.0.3-r0.apk
2024-10-25 21:01
67K
howard-bc-doc-7.0.3-r0.apk
2024-10-25 21:01
39K
hping3-20051105-r4.apk
2024-10-25 21:01
72K
hping3-doc-20051105-r4.apk
2024-10-25 21:01
17K
hpnssh-18.6.2-r0.apk
2025-03-21 17:21
2.4M
hpnssh-doc-18.6.2-r0.apk
2025-03-21 17:21
99K
hsetroot-1.0.5-r1.apk
2024-10-25 21:01
11K
hstdb-2.1.0-r2.apk
2024-10-25 21:01
878K
htmlcxx-0.87-r1.apk
2024-10-25 21:01
63K
htmlcxx-dev-0.87-r1.apk
2024-10-25 21:01
21K
htmldoc-1.9.20-r0.apk
2024-12-10 18:03
2.3M
htmldoc-doc-1.9.20-r0.apk
2024-12-10 18:03
98K
htslib-1.19-r0.apk
2024-10-25 21:01
394K
htslib-dev-1.19-r0.apk
2024-10-25 21:01
115K
htslib-doc-1.19-r0.apk
2024-10-25 21:01
23K
htslib-static-1.19-r0.apk
2024-10-25 21:01
494K
htslib-tools-1.19-r0.apk
2024-10-25 21:01
1.3M
httpie-oauth-1.0.2-r9.apk
2024-10-25 21:01
3.4K
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 21:01
2.3K
httplz-2.1.0-r0.apk
2025-04-19 20:49
1.3M
httplz-doc-2.1.0-r0.apk
2025-04-19 20:49
2.3K
httpx-1.6.10-r3.apk
2025-04-13 23:31
14M
httpx-doc-1.6.10-r3.apk
2025-04-13 23:31
2.3K
httrack-3.49.2-r5.apk
2024-10-25 21:01
742K
httrack-doc-3.49.2-r5.apk
2024-10-25 21:01
528K
hub-2.14.2-r30.apk
2025-04-13 23:31
3.0M
hub-bash-completion-2.14.2-r30.apk
2025-04-13 23:31
4.6K
hub-doc-2.14.2-r30.apk
2025-04-13 23:31
42K
hub-fish-completion-2.14.2-r30.apk
2025-04-13 23:31
3.3K
hub-zsh-completion-2.14.2-r30.apk
2025-04-13 23:31
3.7K
hubble-cli-0.13.6-r4.apk
2025-04-13 23:31
18M
hubble-cli-bash-completion-0.13.6-r4.apk
2025-04-13 23:31
5.1K
hubble-cli-fish-completion-0.13.6-r4.apk
2025-04-13 23:31
4.3K
hubble-cli-zsh-completion-0.13.6-r4.apk
2025-04-13 23:31
4.1K
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 21:01
731K
hurl-6.1.0-r0.apk
2025-03-24 05:33
1.8M
hurl-bash-completion-6.1.0-r0.apk
2025-03-24 05:33
2.2K
hurl-doc-6.1.0-r0.apk
2025-03-24 05:33
8.7K
hurl-fish-completion-6.1.0-r0.apk
2025-03-24 05:33
3.4K
hurl-zsh-completion-6.1.0-r0.apk
2025-03-24 05:33
3.9K
hurlfmt-6.1.0-r0.apk
2025-03-24 05:33
868K
hw-probe-1.6.6-r0.apk
2025-02-22 15:38
124K
hwatch-0.3.11-r0.apk
2024-10-25 21:01
1.0M
hwatch-doc-0.3.11-r0.apk
2024-10-25 21:01
3.0K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 21:01
1.8K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 21:01
1.9K
hx-1.0.15-r0.apk
2024-10-25 21:01
14K
hx-doc-1.0.15-r0.apk
2024-10-25 21:01
4.8K
hy-1.0.0-r0.apk
2024-10-25 21:01
85K
hy-pyc-1.0.0-r0.apk
2024-10-25 21:01
169K
hyfetch-1.99.0-r1.apk
2024-10-25 21:01
433K
hyfetch-bash-completion-1.99.0-r1.apk
2024-10-25 21:01
3.3K
hyfetch-doc-1.99.0-r1.apk
2024-10-25 21:01
17K
hyfetch-pyc-1.99.0-r1.apk
2024-10-25 21:01
180K
hyfetch-zsh-completion-1.99.0-r1.apk
2024-10-25 21:01
2.5K
hypnotix-3.5-r0.apk
2024-10-25 21:01
110K
hypnotix-lang-3.5-r0.apk
2024-10-25 21:01
72K
hyx-2024.02.29-r0.apk
2024-10-25 21:01
17K
hyx-doc-2024.02.29-r0.apk
2024-10-25 21:01
2.2K
i2util-4.2.1-r1.apk
2024-10-25 21:01
20K
i2util-dev-4.2.1-r1.apk
2024-10-25 21:01
44K
i2util-doc-4.2.1-r1.apk
2024-10-25 21:01
4.7K
i3bar-river-1.1.0-r0.apk
2025-03-14 18:12
522K
i3status-rust-0.33.2-r0.apk
2024-11-11 04:20
4.4M
i3status-rust-doc-0.33.2-r0.apk
2024-11-11 04:20
34K
icesprog-0_git20240108-r1.apk
2024-10-25 21:01
8.8K
icesprog-udev-0_git20240108-r1.apk
2024-10-25 21:01
1.9K
icestorm-0_git20240517-r0.apk
2024-10-25 21:01
17M
icingaweb2-module-businessprocess-2.5.1-r0.apk
2025-02-22 15:38
109K
icingaweb2-module-businessprocess-doc-2.5.1-r0.apk
2025-02-22 15:38
2.0M
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 21:01
11K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 21:01
231K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 21:01
6.6K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 21:01
1.8K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 21:01
9.0K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 21:01
1.6K
icmake-9.03.01-r0.apk
2024-10-25 21:01
116K
icmake-doc-9.03.01-r0.apk
2024-10-25 21:01
127K
identities-0.1.3-r0.apk
2025-04-07 17:17
19K
identme-0.6.0-r0.apk
2025-04-03 14:33
46K
idesk-1-r1.apk
2024-10-25 21:01
70K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 23:44
14K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 23:44
2.5K
idevicerestore-1.0.0-r4.apk
2024-10-30 23:44
81K
idevicerestore-doc-1.0.0-r4.apk
2024-10-30 23:44
2.5K
ifuse-1.1.4-r5.apk
2024-10-30 23:44
9.6K
ifuse-doc-1.1.4-r5.apk
2024-10-30 23:44
2.3K
igrep-1.2.0-r0.apk
2024-10-25 21:01
1.6M
igrep-doc-1.2.0-r0.apk
2024-10-25 21:01
4.2K
igsc-0.9.5-r0.apk
2025-03-21 19:42
90K
igsc-dev-0.9.5-r0.apk
2025-03-21 19:42
15K
igt-gpu-tools-1.27.1-r1.apk
2024-10-25 21:01
4.6M
igt-gpu-tools-dev-1.27.1-r1.apk
2024-10-25 21:01
9.6K
ijq-1.1.0-r7.apk
2025-04-13 23:31
1.5M
ijq-doc-1.1.0-r7.apk
2025-04-13 23:31
3.5K
imapfilter-2.8.2-r0.apk
2024-10-25 21:01
41K
imapfilter-doc-2.8.2-r0.apk
2024-10-25 21:01
13K
imediff-2.6-r1.apk
2024-10-25 21:01
42K
imediff-doc-2.6-r1.apk
2024-10-25 21:01
6.5K
imediff-pyc-2.6-r1.apk
2024-10-25 21:01
44K
imgdiff-1.0.2-r25.apk
2025-04-13 23:31
1.0M
imgdiff-doc-1.0.2-r25.apk
2025-04-13 23:31
2.3K
imrsh-0_git20210320-r1.apk
2024-10-25 21:01
8.8K
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 21:01
19K
incus-ui-canonical-0.14.6-r0.apk
2025-02-04 02:35
4.5M
initify-0_git20171210-r1.apk
2024-10-25 21:01
3.3K
innernet-1.6.1-r0.apk
2024-10-25 21:01
2.7M
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 21:01
3.8K
innernet-doc-1.6.1-r0.apk
2024-10-25 21:01
9.0K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 21:01
4.5K
innernet-openrc-1.6.1-r0.apk
2024-10-25 21:01
2.3K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 21:01
5.5K
intel-compute-runtime-24.52.32224.5-r0.apk
2025-03-21 19:42
11M
intel-compute-runtime-doc-24.52.32224.5-r0.apk
2025-03-21 19:42
2.2K
intel-graphics-compiler-2.7.11-r0.apk
2025-03-21 19:42
84M
intel-graphics-compiler-dev-2.7.11-r0.apk
2025-03-21 19:42
184K
intel-graphics-compiler-doc-2.7.11-r0.apk
2025-03-21 19:42
1.7K
interception-tools-0.6.8-r2.apk
2024-10-25 21:01
107K
interception-tools-openrc-0.6.8-r2.apk
2024-10-25 21:01
1.7K
intiface-central-2.6.5-r1.apk
2025-02-24 00:18
7.1M
invidtui-0.4.6-r4.apk
2025-04-13 23:31
4.2M
iotas-0.11.0-r0.apk
2025-03-27 08:12
200K
iotas-lang-0.11.0-r0.apk
2025-03-27 08:12
124K
iotas-pyc-0.11.0-r0.apk
2025-03-27 08:12
317K
ip2location-8.6.1-r0.apk
2024-10-25 21:01
25K
ip2location-dev-8.6.1-r0.apk
2024-10-25 21:01
12K
ip2location-doc-8.6.1-r0.apk
2024-10-25 21:01
2.7K
iprange-1.0.4-r1.apk
2024-10-25 21:01
20K
iprange-doc-1.0.4-r1.apk
2024-10-25 21:01
4.5K
irccd-4.0.3-r0.apk
2024-10-25 21:01
259K
irccd-dev-4.0.3-r0.apk
2024-10-25 21:01
9.6K
irccd-doc-4.0.3-r0.apk
2024-10-25 21:01
80K
irccd-openrc-4.0.3-r0.apk
2024-10-25 21:01
1.8K
ircd-hybrid-8.2.47-r0.apk
2025-04-05 05:59
332K
ircd-hybrid-doc-8.2.47-r0.apk
2025-04-05 05:59
3.6K
ircdog-0.5.4-r4.apk
2025-04-13 23:31
2.5M
irctk-1.1.0-r0.apk
2024-10-25 21:01
29K
irctk-doc-1.1.0-r0.apk
2024-10-25 21:01
16K
irctk-transport-fossil-1.1.0-r0.apk
2024-10-25 21:01
15K
isoinfo-0_git20131217-r1.apk
2024-10-25 21:01
6.5K
isomd5sum-1.2.3-r3.apk
2024-10-25 21:01
19K
isomd5sum-doc-1.2.3-r3.apk
2024-10-25 21:01
3.0K
it87-src-1_p20240609-r0.apk
2024-10-25 21:01
30K
itd-1.1.0-r12.apk
2025-04-13 23:31
9.6M
iwasm-2.2.0-r0.apk
2024-12-05 18:32
1.6K
iwasm-gc-2.2.0-r0.apk
2024-12-05 18:32
203K
iwasm-jit-2.2.0-r0.apk
2024-12-05 18:32
326K
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 21:01
31K
jackal-0.64.0-r14.apk
2025-04-13 23:31
12M
jackal-openrc-0.64.0-r14.apk
2025-04-13 23:31
1.8K
jackdaw-0.3.1-r1.apk
2024-10-25 21:01
2.0M
jackdaw-pyc-0.3.1-r1.apk
2024-10-25 21:01
370K
jackline-0.1.0-r3.apk
2024-10-25 21:01
3.9M
jacktrip-2.5.1-r0.apk
2025-04-18 07:47
1.0M
jacktrip-doc-2.5.1-r0.apk
2025-04-18 07:47
6.4K
jadx-1.5.1-r0.apk
2025-01-16 11:17
111M
jadx-doc-1.5.1-r0.apk
2025-01-16 11:17
5.5K
jalv-1.6.8-r1.apk
2024-10-25 21:01
48K
jalv-doc-1.6.8-r1.apk
2024-10-25 21:01
3.2K
jalv-gtk-1.6.8-r1.apk
2024-10-25 21:01
32K
jami-qt-20230925-r0.apk
2024-10-25 21:01
14M
jami-qt-doc-20230925-r0.apk
2024-10-25 21:01
2.7K
jami-qt-lang-20230925-r0.apk
2024-10-25 21:01
2.3M
jaq-2.1.0-r0.apk
2025-02-05 23:12
645K
jaq-doc-2.1.0-r0.apk
2025-02-05 23:12
2.2K
java-asmtools-8.0.09-r0.apk
2024-10-25 21:01
574K
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 21:01
6.9K
java-gdcm-3.0.24-r0.apk
2024-10-25 21:01
614K
java-jtharness-6.0_p12-r0.apk
2024-10-25 21:01
4.0M
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 21:01
12K
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 21:01
219K
java-jtreg-7.5_p1-r0.apk
2024-10-27 14:25
4.6M
jbigkit-2.1-r2.apk
2024-10-25 21:01
67K
jbigkit-dev-2.1-r2.apk
2024-10-25 21:01
30K
jbigkit-doc-2.1-r2.apk
2024-10-25 21:01
7.3K
jdebp-redo-1.4-r1.apk
2024-10-25 21:01
93K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 21:01
12K
jdupes-1.28.0-r0.apk
2024-10-25 21:01
27K
jdupes-doc-1.28.0-r0.apk
2024-10-25 21:01
9.0K
jedi-language-server-0.45.0-r0.apk
2025-04-22 16:41
32K
jedi-language-server-pyc-0.45.0-r0.apk
2025-04-22 16:41
50K
jfrog-cli-2.45.0-r11.apk
2025-04-13 23:31
9.6M
jhead-3.08-r0.apk
2024-10-25 21:01
31K
jhead-doc-3.08-r0.apk
2024-10-25 21:01
7.9K
jotdown-0.7.0-r0.apk
2025-03-12 02:07
223K
jreleaser-1.17.0-r0.apk
2025-02-28 12:31
40M
jreleaser-doc-1.17.0-r0.apk
2025-02-28 12:31
5.9K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 21:01
560K
jsmn-1.1.0-r2.apk
2024-10-25 21:01
4.7K
json2tsv-1.2-r0.apk
2024-10-25 21:01
6.4K
json2tsv-doc-1.2-r0.apk
2024-10-25 21:01
5.2K
json2tsv-jaq-1.2-r0.apk
2024-10-25 21:01
1.9K
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 21:01
2.3K
jsonnet-bundler-0.6.0-r4.apk
2025-04-13 23:31
3.3M
jsonnet-language-server-0.15.0-r3.apk
2025-04-13 23:31
4.5M
junit2html-31.0.2-r0.apk
2024-10-25 21:01
17K
junit2html-pyc-31.0.2-r0.apk
2024-10-25 21:01
24K
jwt-cli-6.2.0-r0.apk
2024-12-14 19:18
1.0M
k2-0_git20220807-r1.apk
2024-10-25 21:01
97K
k3sup-0.13.6-r4.apk
2025-04-13 23:31
2.7M
k3sup-bash-completion-0.13.6-r4.apk
2025-04-13 23:31
5.0K
k3sup-fish-completion-0.13.6-r4.apk
2025-04-13 23:31
4.3K
k3sup-zsh-completion-0.13.6-r4.apk
2025-04-13 23:31
4.0K
kabmat-2.7.0-r0.apk
2024-10-25 21:01
57K
kabmat-doc-2.7.0-r0.apk
2024-10-25 21:01
3.5K
kalker-2.2.1-r0.apk
2024-10-25 21:01
666K
kanidm-1.5.0-r0.apk
2025-02-22 15:38
1.2K
kanidm-bash-completion-1.5.0-r0.apk
2025-02-22 15:38
23K
kanidm-clients-1.5.0-r0.apk
2025-02-22 15:38
3.5M
kanidm-openrc-1.5.0-r0.apk
2025-02-22 15:38
1.8K
kanidm-server-1.5.0-r0.apk
2025-02-22 15:38
13M
kanidm-unixd-clients-1.5.0-r0.apk
2025-02-22 15:38
8.7M
kanidm-zsh-completion-1.5.0-r0.apk
2025-02-22 15:38
32K
kannel-1.5.0-r11.apk
2024-10-25 21:01
6.2M
kannel-dev-1.5.0-r11.apk
2024-10-25 21:01
919K
kannel-doc-1.5.0-r11.apk
2024-10-25 21:01
6.2K
kapow-0.7.1-r12.apk
2025-04-13 23:31
3.7M
katana-1.1.2-r2.apk
2025-04-13 23:31
13M
katana-doc-1.1.2-r2.apk
2025-04-13 23:31
2.3K
katarakt-0.2-r1.apk
2025-01-29 21:02
83K
kbs2-0.7.2-r3.apk
2024-10-25 21:01
1.0M
kbs2-bash-completion-0.7.2-r3.apk
2024-10-25 21:01
3.1K
kbs2-fish-completion-0.7.2-r3.apk
2024-10-25 21:01
3.2K
kbs2-zsh-completion-0.7.2-r3.apk
2024-10-25 21:01
4.0K
kcbench-0.9.11-r0.apk
2025-04-13 23:31
37K
kcbench-doc-0.9.11-r0.apk
2025-04-13 23:31
20K
kdiskmark-3.1.4-r1.apk
2024-10-25 21:01
160K
kdiskmark-lang-3.1.4-r1.apk
2024-10-25 21:01
27K
keepassxc-browser-1.8.9-r0.apk
2024-10-25 21:01
876K
kefir-0.4.1-r0.apk
2025-04-18 19:35
1.0M
kefir-doc-0.4.1-r0.apk
2025-04-18 19:35
24K
kefir-static-0.4.1-r0.apk
2025-04-18 19:35
1.1M
kerberoast-0.2.0-r1.apk
2024-10-25 21:01
9.6K
kerberoast-pyc-0.2.0-r1.apk
2024-10-25 21:01
15K
kew-3.1.2-r0.apk
2025-03-27 07:33
354K
kew-doc-3.1.2-r0.apk
2025-03-27 07:33
3.4K
keybase-client-6.2.8-r9.apk
2025-04-13 23:31
19M
keydb-6.3.4-r0.apk
2024-10-25 21:01
1.1M
keydb-benchmark-6.3.4-r0.apk
2024-10-25 21:01
372K
keydb-cli-6.3.4-r0.apk
2024-10-25 21:01
367K
keydb-openrc-6.3.4-r0.apk
2024-10-25 21:01
2.6K
keystone-0.9.2-r6.apk
2024-10-25 21:01
1.3M
keystone-dev-0.9.2-r6.apk
2024-10-25 21:01
7.3K
keystone-python-0.9.2-r6.apk
2024-10-25 21:01
1.6M
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 21:01
9.7K
kfc-0.1.4-r0.apk
2024-10-25 21:01
58K
kgraphviewer-2.5.0-r0.apk
2024-10-25 21:01
1.3M
kgraphviewer-dev-2.5.0-r0.apk
2024-10-25 21:01
6.3K
kgraphviewer-lang-2.5.0-r0.apk
2024-10-25 21:01
230K
khinsider-2.0.7-r19.apk
2025-04-13 23:31
3.6M
khronos-4.0.1-r0.apk
2024-10-25 21:01
53K
khronos-lang-4.0.1-r0.apk
2024-10-25 21:01
26K
kimchi-3.0.0-r8.apk
2025-02-22 15:38
529K
kimchi-lang-3.0.0-r8.apk
2025-02-22 15:38
172K
kimchi-pyc-3.0.0-r8.apk
2025-02-22 15:38
476K
kine-0.10.1-r12.apk
2025-04-13 23:31
8.2M
kine-doc-0.10.1-r12.apk
2025-04-13 23:31
5.2K
kirc-0.3.3-r0.apk
2025-02-22 16:08
15K
kirc-doc-0.3.3-r0.apk
2025-02-22 16:08
2.8K
kismet-0.202307.1-r5.apk
2025-04-11 19:44
12M
kismet-linux-bluetooth-0.202307.1-r5.apk
2025-04-11 19:44
44K
kismet-linux-wifi-0.202307.1-r5.apk
2025-04-11 19:44
63K
kismet-logtools-0.202307.1-r5.apk
2025-04-11 19:44
1.1M
kismet-nrf-51822-0.202307.1-r5.apk
2025-04-11 19:44
40K
kismet-nxp-kw41z-0.202307.1-r5.apk
2025-04-11 19:44
42K
kjv-0_git20221103-r0.apk
2024-10-25 21:01
1.5M
klevernotes-1.1.0-r0.apk
2024-10-25 21:01
2.3M
klevernotes-lang-1.1.0-r0.apk
2024-10-25 21:01
142K
klfc-1.5.7-r0.apk
2024-10-25 21:01
4.2M
klfc-doc-1.5.7-r0.apk
2024-10-25 21:01
400K
kmscon-9.0.0-r0.apk
2024-10-25 21:01
798K
kmscon-doc-9.0.0-r0.apk
2024-10-25 21:01
7.7K
knative-client-1.17.0-r3.apk
2025-04-13 23:31
24M
knative-client-bash-completion-1.17.0-r3.apk
2025-04-13 23:31
10K
knative-client-fish-completion-1.17.0-r3.apk
2025-04-13 23:31
4.3K
knative-client-zsh-completion-1.17.0-r3.apk
2025-04-13 23:31
4.0K
knxd-0.14.61-r1.apk
2024-12-14 22:23
397K
knxd-dev-0.14.61-r1.apk
2024-12-14 22:23
24K
ko-0.17.1-r4.apk
2025-04-13 23:31
11M
ko-bash-completion-0.17.1-r4.apk
2025-04-13 23:31
5.0K
ko-fish-completion-0.17.1-r4.apk
2025-04-13 23:31
4.3K
ko-zsh-completion-0.17.1-r4.apk
2025-04-13 23:31
4.0K
kodaskanna-0.2.2-r0.apk
2025-01-20 09:16
51K
kodaskanna-lang-0.2.2-r0.apk
2025-01-20 09:16
23K
kodi-audioencoder-flac-20.2.0-r1.apk
2024-10-25 21:01
43K
kodi-audioencoder-lame-20.3.0-r1.apk
2024-10-25 21:01
92K
kodi-audioencoder-vorbis-20.2.0-r1.apk
2024-10-25 21:01
35K
kodi-audioencoder-wav-20.2.0-r1.apk
2024-10-25 21:01
27K
kodi-game-libretro-20.1.0-r0.apk
2024-10-25 21:01
117K
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2024-10-25 21:01
241K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2024-10-25 21:01
15K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2024-10-25 21:01
15K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2024-10-25 21:01
17K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2024-10-25 21:01
21K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2024-10-25 21:01
54K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2024-10-25 21:01
64K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2024-10-25 21:01
20K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2024-10-25 21:01
20K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2024-10-25 21:01
16K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2024-10-25 21:01
18K
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2024-10-25 21:01
64K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2024-10-25 21:01
74K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2024-10-25 21:01
180K
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2024-10-25 21:01
160K
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2024-10-25 21:01
326K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2024-10-25 21:01
1.3M
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2024-10-25 21:01
19K
kodi-game-libretro-mupen64plus-nx-2.5.0.40-r0.apk
2024-10-25 21:01
127K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2024-10-25 21:01
23K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2024-10-25 21:01
26K
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2024-10-25 21:01
16K
kodi-inputstream-adaptive-21.5.9-r0.apk
2025-03-02 17:15
1.0M
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2025-03-02 17:15
347K
kodi-inputstream-rtmp-21.1.2-r0.apk
2025-03-02 17:15
87K
kodi-peripheral-joystick-20.1.9-r0.apk
2024-10-25 21:01
215K
kodi-pvr-hts-21.2.6-r0.apk
2025-03-02 17:15
298K
kodi-pvr-iptvsimple-21.10.2-r0.apk
2025-03-02 17:15
926K
kodi-vfs-libarchive-21.0.2-r0.apk
2025-03-02 17:15
111K
kodi-vfs-rar-20.1.0-r1.apk
2024-10-25 21:01
401K
kodi-vfs-sacd-20.1.0-r1.apk
2024-10-25 21:01
93K
kodi-vfs-sftp-20.2.0-r1.apk
2024-10-25 21:01
53K
komikku-1.74.0-r0.apk
2025-04-07 21:40
401K
komikku-lang-1.74.0-r0.apk
2025-04-07 21:40
263K
komikku-pyc-1.74.0-r0.apk
2025-04-07 21:40
758K
kompose-1.31.2-r9.apk
2025-04-13 23:31
7.5M
kompose-bash-completion-1.31.2-r9.apk
2025-04-13 23:31
5.6K
kompose-fish-completion-1.31.2-r9.apk
2025-04-13 23:31
4.4K
kompose-zsh-completion-1.31.2-r9.apk
2025-04-13 23:31
6.8K
kondo-0.8-r0.apk
2024-10-25 21:01
692K
kondo-bash-completion-0.8-r0.apk
2024-10-25 21:01
2.1K
kondo-fish-completion-0.8-r0.apk
2024-10-25 21:01
2.1K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 21:01
2.5K
kopia-0.19.0-r3.apk
2025-04-13 23:31
17M
kopia-bash-completion-0.19.0-r3.apk
2025-04-13 23:31
1.8K
kopia-zsh-completion-0.19.0-r3.apk
2025-04-13 23:31
1.8K
kops-1.28.4-r9.apk
2025-04-13 23:31
36M
kotlin-language-server-1.3.13-r0.apk
2025-04-03 02:11
83M
kpatch-0.9.10-r0.apk
2025-02-07 23:29
129K
kpatch-doc-0.9.10-r0.apk
2025-02-07 23:29
3.4K
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 21:01
2.8M
ktx-4.3.2-r0.apk
2024-10-25 21:01
1.2M
ktx-dev-4.3.2-r0.apk
2024-10-25 21:01
29K
ktx-libs-4.3.2-r0.apk
2024-10-25 21:01
1.3M
kube-no-trouble-0.7.3-r4.apk
2025-04-13 23:31
14M
kubeconform-0.6.6-r6.apk
2025-04-13 23:31
3.5M
kubectl-krew-0.4.5-r1.apk
2025-04-13 23:31
4.8M
kubectl-oidc_login-1.32.3-r1.apk
2025-04-13 23:31
5.7M
kubeone-1.9.2-r3.apk
2025-04-13 23:31
27M
kubeone-bash-completion-1.9.2-r3.apk
2025-04-13 23:31
6.7K
kubeone-doc-1.9.2-r3.apk
2025-04-13 23:31
20K
kubeone-zsh-completion-1.9.2-r3.apk
2025-04-13 23:31
4.0K
kubepug-1.7.1-r9.apk
2025-04-13 23:31
17M
kubepug-bash-completion-1.7.1-r9.apk
2025-04-13 23:31
5.1K
kubepug-fish-completion-1.7.1-r9.apk
2025-04-13 23:31
4.3K
kubepug-zsh-completion-1.7.1-r9.apk
2025-04-13 23:31
4.1K
kubeseal-0.29.0-r0.apk
2025-04-20 22:14
11M
kubeseal-doc-0.29.0-r0.apk
2025-04-20 22:14
5.5K
kubesplit-0.3.3-r1.apk
2024-10-25 21:01
13K
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 21:01
13K
kuma-cp-2.9.3-r3.apk
2025-04-13 23:31
28M
kuma-cp-openrc-2.9.3-r3.apk
2025-04-13 23:31
2.2K
kuma-dp-2.9.3-r3.apk
2025-04-13 23:31
22M
kuma-dp-openrc-2.9.3-r3.apk
2025-04-13 23:31
2.1K
kumactl-2.9.3-r3.apk
2025-04-13 23:31
25M
kumactl-bash-completion-2.9.3-r3.apk
2025-04-13 23:31
10K
kumactl-fish-completion-2.9.3-r3.apk
2025-04-13 23:31
4.3K
kumactl-zsh-completion-2.9.3-r3.apk
2025-04-13 23:31
4.0K
lavacli-2.2.0-r0.apk
2025-03-21 19:44
48K
lavacli-doc-2.2.0-r0.apk
2025-03-21 19:44
34K
lavacli-pyc-2.2.0-r0.apk
2025-03-21 19:44
84K
laze-0.1.31-r0.apk
2025-02-22 15:39
1.0M
laze-bash-completion-0.1.31-r0.apk
2025-02-22 15:39
3.1K
laze-doc-0.1.31-r0.apk
2025-02-22 15:39
3.5K
laze-fish-completion-0.1.31-r0.apk
2025-02-22 15:39
3.4K
laze-zsh-completion-0.1.31-r0.apk
2025-02-22 15:39
3.6K
lazymc-0.2.11-r0.apk
2024-10-25 21:01
1.1M
lazymc-openrc-0.2.11-r0.apk
2024-10-25 21:01
2.0K
lbb-0.9.1-r2.apk
2025-04-13 23:31
3.2M
lbb-doc-0.9.1-r2.apk
2025-04-13 23:31
19K
lcalc-2.0.5-r2.apk
2024-10-25 21:01
226K
lcalc-dev-2.0.5-r2.apk
2024-10-25 21:01
57K
lcalc-doc-2.0.5-r2.apk
2024-10-25 21:01
437K
lcalc-libs-2.0.5-r2.apk
2024-10-25 21:01
221K
ldapdomaindump-0.9.4-r1.apk
2024-10-25 21:01
18K
ldapdomaindump-pyc-0.9.4-r1.apk
2024-10-25 21:01
31K
ledmon-1.0.0-r0.apk
2024-10-25 21:01
79K
ledmon-doc-1.0.0-r0.apk
2024-10-25 21:01
14K
lefthook-1.11.9-r0.apk
2025-04-13 23:31
5.4M
lefthook-doc-1.11.9-r0.apk
2025-04-13 23:31
2.2K
legume-1.4.2-r8.apk
2025-04-13 23:31
1.5M
legume-doc-1.4.2-r8.apk
2025-04-13 23:31
12K
lem-2.2.0-r0.apk
2024-10-25 21:01
24M
lem-common-2.2.0-r0.apk
2024-10-25 21:01
11K
lem-gui-2.2.0-r0.apk
2024-10-25 21:01
26M
lemmy-0.19.8-r0.apk
2024-12-25 04:29
12M
lemmy-localdb-0.19.8-r0.apk
2024-12-25 04:29
2.4K
lemmy-openrc-0.19.8-r0.apk
2024-12-25 04:29
1.8K
lemmy-ui-0.19.8-r0.apk
2024-12-25 04:29
54M
lemmy-ui-openrc-0.19.8-r0.apk
2024-12-25 04:29
2.1K
leptosfmt-0.1.33-r0.apk
2025-03-25 16:30
1.0M
leptosfmt-doc-0.1.33-r0.apk
2025-03-25 16:30
6.0K
level-zero-1.20.2-r0.apk
2025-03-21 19:42
499K
level-zero-doc-1.20.2-r0.apk
2025-03-21 19:42
2.2K
levmar-dev-2.6-r0.apk
2024-10-25 21:01
47K
lfm-3.1-r4.apk
2024-10-25 21:01
88K
lfm-doc-3.1-r4.apk
2024-10-25 21:01
2.8K
lfm-pyc-3.1-r4.apk
2024-10-25 21:01
134K
lgogdownloader-3.16-r1.apk
2025-03-25 16:13
358K
lgogdownloader-doc-3.16-r1.apk
2025-03-25 16:13
8.5K
libabigail-2.3-r0.apk
2024-10-25 21:01
843K
libabigail-bash-completion-2.3-r0.apk
2024-10-25 21:01
3.0K
libabigail-dev-2.3-r0.apk
2024-10-25 21:01
1.2M
libabigail-doc-2.3-r0.apk
2024-10-25 21:01
61K
libabigail-tools-2.3-r0.apk
2024-10-25 21:01
107K
libandroidfw-0_git20250325-r0.apk
2025-04-03 02:11
387K
libandroidfw-dev-0_git20250325-r0.apk
2025-04-03 02:11
7.1K
libantic-0.2.5-r0.apk
2024-10-25 21:01
43K
libantic-dev-0.2.5-r0.apk
2024-10-25 21:01
6.4K
libantlr3c-3.4-r3.apk
2024-10-25 21:01
50K
libantlr3c-dev-3.4-r3.apk
2024-10-25 21:01
58K
libantlr4-4.13.2-r0.apk
2024-12-12 12:22
462K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 12:22
936K
libapk3-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
125K
libarb-2.23.0-r2.apk
2024-10-25 21:01
1.5M
libarb-dev-2.23.0-r2.apk
2024-10-25 21:01
54K
libarb-static-2.23.0-r2.apk
2024-10-25 21:01
2.0M
libaudec-0.3.4-r3.apk
2024-10-25 21:01
27K
libaudec-dev-0.3.4-r3.apk
2024-10-25 21:01
4.3K
libaudec-static-0.3.4-r3.apk
2024-10-25 21:01
31K
libaudec-tools-0.3.4-r3.apk
2024-10-25 21:01
28K
libb64-2.0.0.1-r0.apk
2024-10-25 21:01
4.4K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 21:01
5.7K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 21:01
8.0K
libbamf-0.5.6-r1.apk
2024-10-25 21:01
149K
libbamf-dev-0.5.6-r1.apk
2024-10-25 21:01
6.4K
libbamf-doc-0.5.6-r1.apk
2024-10-25 21:01
31K
libblastrampoline-5.2.0-r0.apk
2024-10-25 21:01
330K
libblastrampoline-dev-5.2.0-r0.apk
2024-10-25 21:01
96K
libbloom-2.0-r0.apk
2024-10-25 21:01
5.5K
libbloom-dev-2.0-r0.apk
2024-10-25 21:01
3.5K
libbraiding-1.3.1-r0.apk
2024-11-17 05:04
95K
libbraiding-dev-1.3.1-r0.apk
2024-11-17 05:04
15K
libbsoncxx-3.8.0-r0.apk
2024-10-25 21:01
43K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 21:01
39K
libclc-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
22M
libcli-1.10.7-r0.apk
2024-10-25 21:01
30K
libcork-0.15.0-r7.apk
2024-10-25 21:01
33K
libcork-dev-0.15.0-r7.apk
2024-10-25 21:01
30K
libcork-tools-0.15.0-r7.apk
2024-10-25 21:01
4.4K
libcorkipset-1.1.1-r4.apk
2024-10-25 21:01
13K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 21:01
8.1K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 21:01
11K
libcotp-3.1.0-r0.apk
2024-10-25 21:01
7.9K
libcotp-dev-3.1.0-r0.apk
2024-10-25 21:01
2.5K
libcpdf-2.8-r0.apk
2025-03-23 08:18
2.2M
libcpdf-dev-2.8-r0.apk
2025-03-23 08:18
17K
libcpdf-static-2.8-r0.apk
2025-03-23 08:18
3.3M
libctl-4.5.1-r1.apk
2024-10-25 21:01
97K
libctl-dev-4.5.1-r1.apk
2024-10-25 21:01
39K
libctl-doc-4.5.1-r1.apk
2024-10-25 21:01
3.0K
libcyaml-1.4.2-r0.apk
2024-10-25 21:01
20K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 21:01
13K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 21:01
8.6K
libcyaml-static-1.4.2-r0.apk
2024-10-25 21:01
25K
libdbusaccess-1.0.20-r0.apk
2025-04-14 00:18
17K
libdbusaccess-dev-1.0.20-r0.apk
2025-04-14 00:18
5.0K
libdcmtk-3.6.9-r0.apk
2025-01-19 17:47
6.4M
libdng-0.2.1-r0.apk
2024-12-27 23:09
11K
libdng-dev-0.2.1-r0.apk
2024-12-27 23:09
3.2K
libdng-doc-0.2.1-r0.apk
2024-12-27 23:09
4.2K
libdng-utils-0.2.1-r0.apk
2024-12-27 23:09
5.8K
libeantic-2.0.2-r1.apk
2024-10-25 21:01
73K
libeantic-dev-2.0.2-r1.apk
2024-10-25 21:01
18K
libecap-1.0.1-r1.apk
2024-10-25 21:01
13K
libecap-dev-1.0.1-r1.apk
2024-10-25 21:01
11K
libecap-static-1.0.1-r1.apk
2024-10-25 21:01
16K
libecm-7.0.5-r1.apk
2024-10-25 21:01
229K
libemf2svg-1.1.0-r2.apk
2024-10-25 21:01
163K
libemf2svg-utils-1.1.0-r2.apk
2024-10-25 21:01
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 21:01
41K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 21:01
18K
libettercap-0.8.3.1-r3.apk
2024-10-25 21:01
195K
libfishsound-1.0.0-r1.apk
2024-10-25 21:01
9.6K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 21:01
62K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 21:01
75K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 21:01
104K
libfort-0.4.2-r0.apk
2024-10-25 21:01
31K
libfort-dev-0.4.2-r0.apk
2024-10-25 21:01
17K
libfyaml-0.9-r0.apk
2024-10-25 21:01
342K
libfyaml-dev-0.9-r0.apk
2024-10-25 21:01
42K
libfyaml-doc-0.9-r0.apk
2024-10-25 21:01
7.4K
libgdcm-3.0.24-r0.apk
2024-10-25 21:01
2.5M
libgivaro-4.2.0-r2.apk
2024-10-25 21:01
75K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 21:01
244K
libgivaro-static-4.2.0-r2.apk
2024-10-25 21:01
90K
libgrapheme-1-r0.apk
2024-10-25 21:01
9.7K
libgrapheme-dev-1-r0.apk
2024-10-25 21:01
9.6K
libgrapheme-doc-1-r0.apk
2024-10-25 21:01
8.0K
libguestfs-1.52.0-r1.apk
2024-10-25 21:01
324K
libguestfs-dev-1.52.0-r1.apk
2024-10-25 21:01
29K
libguestfs-doc-1.52.0-r1.apk
2024-10-25 21:01
560K
libguestfs-static-1.52.0-r1.apk
2024-10-25 21:01
442K
libhomfly-1.02_p6-r1.apk
2024-10-25 21:01
16K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 21:01
19K
libhwpwm-0.4.4-r0.apk
2024-10-25 21:01
5.4K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 21:01
5.8K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 21:01
13K
libibmad-1.3.13-r2.apk
2024-10-25 21:01
31K
libibmad-dev-1.3.13-r2.apk
2024-10-25 21:01
13K
libibumad-1.3.10.2-r3.apk
2024-10-25 21:01
16K
libibumad-dev-1.3.10.2-r3.apk
2024-10-25 21:01
7.6K
libibumad-doc-1.3.10.2-r3.apk
2024-10-25 21:01
23K
libideviceactivation-1.1.1-r5.apk
2024-10-30 23:44
16K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 23:44
3.3K
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 23:44
2.2K
libigraph-0.10.15-r0.apk
2024-11-15 18:11
1.2M
libigraph-dev-0.10.15-r0.apk
2024-11-15 18:11
90K
libiio-0.25-r2.apk
2024-10-25 21:01
51K
libiio-dev-0.25-r2.apk
2024-10-25 21:01
13K
libiio-doc-0.25-r2.apk
2024-10-25 21:01
18K
libiio-pyc-0.25-r2.apk
2024-10-25 21:01
21K
libiio-tools-0.25-r2.apk
2024-10-25 21:01
72K
libiml-1.0.5-r3.apk
2024-10-25 21:01
76K
libiml-dev-1.0.5-r3.apk
2024-10-25 21:01
3.9K
libiml-static-1.0.5-r3.apk
2024-10-25 21:01
78K
libirecovery-1.2.1-r0.apk
2024-10-30 23:44
23K
libirecovery-dev-1.2.1-r0.apk
2024-10-30 23:44
4.1K
libirecovery-progs-1.2.1-r0.apk
2024-10-30 23:44
8.0K
libiscsi-1.19.0-r2.apk
2024-10-25 21:01
60K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 21:01
20K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 21:01
9.3K
libiscsi-static-1.19.0-r2.apk
2024-10-25 21:01
71K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 21:01
86K
libjodycode-3.1.1-r0.apk
2024-10-25 21:01
7.3K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 21:01
4.2K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 21:01
3.7K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 21:01
160K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 21:01
20K
libm4ri-20240729-r2.apk
2025-01-15 19:10
120K
libm4ri-dev-20240729-r2.apk
2025-01-15 19:10
32K
libm4ri-static-20240729-r2.apk
2025-01-15 19:10
135K
libm4rie-20200125-r5.apk
2025-01-15 19:10
164K
libm4rie-dev-20200125-r5.apk
2025-01-15 19:10
24K
libm4rie-static-20200125-r5.apk
2025-01-15 19:10
190K
libmdbx-0.11.8-r0.apk
2024-10-25 21:01
714K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 21:01
2.6M
libmdbx-dev-0.11.8-r0.apk
2024-10-25 21:01
93K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 21:01
8.9K
libmdf-1.0.29-r0.apk
2024-10-25 21:01
33K
libmdf-dev-1.0.29-r0.apk
2024-10-25 21:01
14K
libmedc-4.1.1-r4.apk
2024-10-25 21:01
612K
libmedc-dev-4.1.1-r4.apk
2024-10-25 21:01
38K
libmedc-doc-4.1.1-r4.apk
2024-10-25 21:01
41M
libmedc-python-4.1.1-r4.apk
2024-10-25 21:01
1.7M
libmedc-python-pyc-4.1.1-r4.apk
2024-10-25 21:01
475K
libmhash-0.9.9.9-r3.apk
2024-10-25 21:01
94K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 21:01
105K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 21:01
8.2K
libmpfi-1.5.4-r2.apk
2024-10-25 21:01
34K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 21:01
5.4K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 21:01
19K
libmpfi-static-1.5.4-r2.apk
2024-10-25 21:01
51K
libmrss-0.19.2-r1.apk
2024-10-25 21:01
20K
libmrss-dev-0.19.2-r1.apk
2024-10-25 21:01
30K
libmustache-0.5.0-r1.apk
2024-10-25 21:01
81K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 21:01
82K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 21:01
12K
libmysofa-1.3.2-r0.apk
2024-10-25 21:01
25K
libmysofa-dev-1.3.2-r0.apk
2024-10-25 21:01
7.0K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 21:01
1.1M
libnbcompat-1.0.2-r0.apk
2024-10-25 21:01
33K
libnbcompat-dev-1.0.2-r0.apk
2024-10-25 21:01
65K
libneo4j-client-2.2.0-r3.apk
2024-10-25 21:01
70K
libneo4j-client-dev-2.2.0-r3.apk
2024-10-25 21:01
108K
libnest2d-0.4-r7.apk
2025-02-06 05:45
1.2K
libnest2d-dev-0.4-r7.apk
2025-02-06 05:45
70K
libnfc-1.8.0-r1.apk
2024-10-25 21:01
55K
libnfc-dev-1.8.0-r1.apk
2024-10-25 21:01
7.9K
libnfc-doc-1.8.0-r1.apk
2024-10-25 21:01
22K
libnfc-tools-1.8.0-r1.apk
2024-10-25 21:01
61K
libnfcdef-1.0.1-r0.apk
2025-04-14 00:18
12K
libnfcdef-dev-1.0.1-r0.apk
2025-04-14 00:18
5.7K
libnih-1.0.3-r7.apk
2024-10-25 21:01
113K
libnih-dev-1.0.3-r7.apk
2024-10-25 21:01
113K
libnih-doc-1.0.3-r7.apk
2024-10-25 21:01
2.7K
libntl-11.5.1-r4.apk
2024-10-25 21:01
1.1M
libntl-dev-11.5.1-r4.apk
2024-10-25 21:01
159K
libntl-doc-11.5.1-r4.apk
2024-10-25 21:01
374K
libntl-static-11.5.1-r4.apk
2024-10-25 21:01
1.6M
libnxml-0.18.3-r0.apk
2024-10-25 21:01
19K
libnxml-dev-0.18.3-r0.apk
2024-10-25 21:01
27K
libofx-0.10.9-r1.apk
2024-10-25 21:01
61K
libofx-dev-0.10.9-r1.apk
2024-10-25 21:01
20K
libofx-tools-0.10.9-r1.apk
2024-10-25 21:01
103K
liboggz-1.1.1-r2.apk
2024-10-25 21:01
96K
liboggz-dev-1.1.1-r2.apk
2024-10-25 21:01
176K
liboggz-doc-1.1.1-r2.apk
2024-10-25 21:01
134K
libopensles-standalone-0_git20240221-r0.apk
2024-10-25 21:01
37K
libopensles-standalone-dbg-0_git20240221-r0.apk
2024-10-25 21:01
243K
libopensles-standalone-dev-0_git20240221-r0.apk
2024-10-25 21:01
1.5K
libppl-1.2-r1.apk
2024-10-25 21:01
496K
libppl_c-1.2-r1.apk
2024-10-25 21:01
1.6M
libqd-2.3.24-r0.apk
2024-10-25 21:01
166K
libqd-dev-2.3.24-r0.apk
2024-10-25 21:01
58K
libqd-doc-2.3.24-r0.apk
2024-10-25 21:01
182K
libqd-static-2.3.24-r0.apk
2024-10-25 21:01
257K
libqofono-0.124-r0.apk
2025-01-10 18:03
1.2K
libqofono-dev-0.124-r0.apk
2025-01-10 18:03
42K
libqofono-qt5-0.124-r0.apk
2025-01-10 18:03
278K
libqofono-qt6-0.124-r0.apk
2025-01-10 18:03
414K
libqtdbusmock-0.9.1-r2.apk
2025-02-22 15:39
67K
libqtdbustest-0.3.3-r1.apk
2025-02-22 15:39
31K
libraqm-0.10.2-r0.apk
2024-10-25 21:01
11K
libraqm-dev-0.10.2-r0.apk
2024-10-25 21:01
4.5K
libraqm-doc-0.10.2-r0.apk
2024-10-25 21:01
21K
libre-3.20.0-r0.apk
2025-02-25 13:18
289K
libre-dev-3.20.0-r0.apk
2025-02-25 13:18
472K
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 21:01
45K
librespot-0.6.0-r0.apk
2024-11-02 06:01
3.3M
librespot-openrc-0.6.0-r0.apk
2024-11-02 06:01
1.8K
libresprite-1.2-r0.apk
2025-04-14 00:15
15M
libresprite-doc-1.2-r0.apk
2025-04-14 00:15
15K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 21:01
272K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 21:01
436K
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 21:01
318K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 21:01
1.5M
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 21:01
439K
libretro-blastem-0_git20210810-r0.apk
2024-10-25 21:01
241K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 21:01
639K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 21:01
242K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 21:01
300K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 21:01
277K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 21:01
584K
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 21:01
136K
libretro-dolphin-0_git20220407-r2.apk
2024-10-25 21:01
3.1M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 21:01
12M
libretro-flycast-0_git20220406-r3.apk
2025-04-10 23:03
1.5M
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 21:01
34K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 21:01
161K
libretro-fuse-0_git20220417-r0.apk
2024-10-25 21:01
854K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 21:01
706K
libretro-gme-0_git20240628-r0.apk
2024-10-25 21:01
185K
libretro-gong-0_git20220319-r0.apk
2024-10-25 21:01
9.0K
libretro-gw-0_git20220410-r0.apk
2024-10-25 21:01
187K
libretro-mame2000-0_git20240701-r0.apk
2024-10-25 21:01
2.8M
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 21:01
6.8M
libretro-mu-0_git20220317-r0.apk
2024-10-25 21:01
176K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 21:01
453K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 21:01
288K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 21:01
516K
libretro-opera-0_git20211214-r0.apk
2024-10-25 21:01
179K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 21:01
806K
libretro-pcem-0_git20180812-r0.apk
2024-10-25 21:01
937K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 21:01
540K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 21:01
587K
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 21:01
84K
libretro-ppsspp-0_git20210516-r15.apk
2025-04-10 23:03
2.3M
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 21:01
20M
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 21:01
792K
libretro-theodore-3.1-r0.apk
2024-10-25 21:01
873K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 21:01
387K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 21:01
118K
libretro-yabause-0_git20210411-r0.apk
2024-10-25 21:01
498K
libsbsms-2.3.0-r0.apk
2024-10-25 21:01
102K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 21:01
120K
libsds-2.0.0-r1.apk
2024-10-25 21:01
9.7K
libsds-dev-2.0.0-r1.apk
2024-10-25 21:01
3.8K
libsemanage-3.6-r1.apk
2024-10-25 21:01
93K
libsemanage-dev-3.6-r1.apk
2024-10-25 21:01
141K
libsemanage-doc-3.6-r1.apk
2024-10-25 21:01
23K
libsemigroups-2.7.3-r1.apk
2024-12-14 22:23
799K
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 22:23
359K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 22:23
1.6M
libserialport-0.1.1-r1.apk
2024-10-25 21:01
20K
libserialport-dev-0.1.1-r1.apk
2024-10-25 21:01
35K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 21:01
48K
libsigrok-0.5.2-r3.apk
2024-10-25 21:01
473K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 21:01
31K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 21:01
335K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 21:01
39K
libsimpleble-0.8.1-r0.apk
2025-02-25 23:20
176K
libsimpleble-c-0.8.1-r0.apk
2025-02-25 23:20
15K
libsimplebluez-0.8.1-r0.apk
2025-02-25 23:20
124K
libsirocco-2.1.0-r2.apk
2024-10-25 21:01
62K
libsirocco-dev-2.1.0-r2.apk
2024-10-25 21:01
1.9K
libspatialindex-0_git20210205-r1.apk
2024-10-25 21:01
302K
libspatialindex-dev-0_git20210205-r1.apk
2024-10-25 21:01
22K
libstirshaken-0_git20240208-r3.apk
2025-04-22 16:45
52K
libstirshaken-dev-0_git20240208-r3.apk
2025-04-22 16:45
78K
libstirshaken-tools-0_git20240208-r3.apk
2025-04-22 16:45
157K
libsymmetrica-3.0.1-r2.apk
2024-10-25 21:01
4.1M
libsymmetrica-dev-3.0.1-r2.apk
2024-10-25 21:01
32K
libsymmetrica-static-3.0.1-r2.apk
2024-10-25 21:01
5.5M
libtcmu-1.6.0-r6.apk
2024-10-25 21:01
36K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 21:01
1.5K
libtins-4.5-r1.apk
2024-10-25 21:01
332K
libtins-dev-4.5-r1.apk
2024-10-25 21:01
141K
libtins-doc-4.5-r1.apk
2024-10-25 21:01
2.3K
libtinycbor-0.6.0-r1.apk
2024-10-25 21:01
18K
libtommath-1.2.1-r0.apk
2024-10-25 21:01
37K
libtommath-dev-1.2.1-r0.apk
2024-10-25 21:01
63K
libtsm-4.0.2-r1.apk
2024-10-25 21:01
25K
libtsm-dev-4.0.2-r1.apk
2024-10-25 21:01
9.5K
libucl-0.9.0-r0.apk
2024-10-25 21:01
56K
libucl-dev-0.9.0-r0.apk
2024-10-25 21:01
81K
libucl-doc-0.9.0-r0.apk
2024-10-25 21:01
8.9K
libuecc-7-r4.apk
2025-03-03 17:06
9.2K
libuecc-dev-7-r4.apk
2025-03-03 17:06
4.6K
libunicode-0.6.0-r1.apk
2024-12-14 22:23
527K
libunicode-dev-0.6.0-r1.apk
2024-12-14 22:23
51K
libunicode-doc-0.6.0-r1.apk
2024-12-14 22:23
5.4K
libunicode-tools-0.6.0-r1.apk
2024-12-14 22:23
28K
libuninameslist-20230916-r0.apk
2024-10-25 21:01
376K
libuninameslist-dev-20230916-r0.apk
2024-10-25 21:01
3.5K
libuninameslist-doc-20230916-r0.apk
2024-10-25 21:01
2.0K
libupstart-2.0.3-r5.apk
2024-10-25 21:01
59K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 21:01
57K
libvisio2svg-0.5.5-r3.apk
2024-10-25 21:01
15K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 21:01
2.9K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 21:01
116K
libvmaf-3.0.0-r0.apk
2024-10-25 21:01
362K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 21:01
215K
libvoikko-4.3.2-r1.apk
2024-10-25 21:01
121K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 21:01
9.9K
libvoikko-doc-4.3.2-r1.apk
2024-10-25 21:01
5.7K
libwasmtime-28.0.1-r0.apk
2025-01-19 15:14
2.5M
libwasmtime-static-28.0.1-r0.apk
2025-01-19 15:14
4.5M
libwbxml-0.11.8-r0.apk
2024-10-25 21:01
76K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 21:01
9.0K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 21:01
28K
libwhich-1.2.0-r0.apk
2024-10-25 21:01
4.3K
libwmiclient-1.3.16-r5.apk
2024-10-25 21:01
1.5K
libwmiclient-dev-1.3.16-r5.apk
2024-10-25 21:01
1.7K
libxml++-5.4.0-r0.apk
2025-02-22 15:39
63K
libxml++-dev-5.4.0-r0.apk
2025-02-22 15:39
29K
libxo-1.7.5-r0.apk
2025-01-12 23:45
177K
libxo-dev-1.7.5-r0.apk
2025-01-12 23:45
74K
libxo-doc-1.7.5-r0.apk
2025-01-12 23:45
63K
libzn_poly-0.9.2-r2.apk
2024-10-25 21:01
46K
libzn_poly-dev-0.9.2-r2.apk
2024-10-25 21:01
8.2K
libzn_poly-static-0.9.2-r2.apk
2024-10-25 21:01
49K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 22:55
157K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 22:55
38K
libzvbi-0.2.44-r0.apk
2025-03-12 02:07
217K
libzvbi-dev-0.2.44-r0.apk
2025-03-12 02:07
14K
libzvbi-static-0.2.44-r0.apk
2025-03-12 02:07
280K
licenseheaders-0.8.8-r4.apk
2024-10-25 21:01
18K
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 21:01
18K
lidarr-2.10.3.4602-r0.apk
2025-04-14 23:42
20M
lidarr-openrc-2.10.3.4602-r0.apk
2025-04-14 23:42
2.0K
lima-1.0.7-r1.apk
2025-04-13 23:31
11M
lima-bash-completion-1.0.7-r1.apk
2025-04-13 23:31
6.1K
lima-doc-1.0.7-r1.apk
2025-04-13 23:31
51K
lima-fish-completion-1.0.7-r1.apk
2025-04-13 23:31
4.3K
lima-guestagent-1.0.7-r1.apk
2025-04-13 23:31
14M
lima-zsh-completion-1.0.7-r1.apk
2025-04-13 23:31
4.0K
limkd-0.1.2-r0.apk
2024-10-25 21:01
89K
limkd-doc-0.1.2-r0.apk
2024-10-25 21:01
3.0K
limnoria-20240828-r0.apk
2024-10-25 21:01
1.1M
limnoria-doc-20240828-r0.apk
2024-10-25 21:01
8.4K
limnoria-pyc-20240828-r0.apk
2024-10-25 21:01
1.2M
linkquisition-1.6.1-r4.apk
2025-04-13 23:31
12M
linphone-5.3.38-r0.apk
2024-10-25 21:01
9.0M
linphone-dev-5.3.38-r0.apk
2024-10-25 21:01
250K
linphone-libs-5.3.38-r0.apk
2024-10-25 21:01
2.9M
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 21:01
197K
linux-timemachine-1.3.2-r0.apk
2024-10-25 21:01
5.1K
linuxkit-1.5.3-r4.apk
2025-04-13 23:31
13M
linuxkit-doc-1.5.3-r4.apk
2025-04-13 23:31
10K
linuxptp-4.4-r0.apk
2024-11-17 18:29
1.2K
linuxptp-doc-4.4-r0.apk
2024-11-17 18:29
38K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-17 18:29
4.3K
linuxptp-nsm-4.4-r0.apk
2024-11-17 18:29
32K
linuxptp-phc2sys-4.4-r0.apk
2024-11-17 18:29
36K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-17 18:29
9.5K
linuxptp-pmc-4.4-r0.apk
2024-11-17 18:29
35K
linuxptp-ptp4l-4.4-r0.apk
2024-11-17 18:29
77K
linuxptp-timemaster-4.4-r0.apk
2024-11-17 18:29
16K
linuxptp-ts2phc-4.4-r0.apk
2024-11-17 18:29
35K
linuxptp-tz2alt-4.4-r0.apk
2024-11-17 18:29
19K
linuxwave-0.1.5-r0.apk
2024-10-25 21:01
99K
linuxwave-doc-0.1.5-r0.apk
2024-10-25 21:01
3.3K
liquibase-4.9.1-r0.apk
2024-10-25 21:01
32M
liquibase-doc-4.9.1-r0.apk
2024-10-25 21:01
57K
liquid-dsp-1.5.0-r0.apk
2024-10-25 21:01
353K
liquid-dsp-dev-1.5.0-r0.apk
2024-10-25 21:01
526K
listenbrainz-mpd-2.3.8-r0.apk
2024-10-25 21:01
1.2M
listenbrainz-mpd-bash-completion-2.3.8-r0.apk
2024-10-25 21:01
2.1K
listenbrainz-mpd-doc-2.3.8-r0.apk
2024-10-25 21:01
14K
listenbrainz-mpd-fish-completion-2.3.8-r0.apk
2024-10-25 21:01
1.8K
listenbrainz-mpd-zsh-completion-2.3.8-r0.apk
2024-10-25 21:01
2.1K
litehtml-0.9-r2.apk
2025-02-22 15:39
320K
litehtml-dev-0.9-r2.apk
2025-02-22 15:39
42K
litehtml-static-0.9-r2.apk
2025-02-22 15:39
511K
litterbox-1.9-r1.apk
2024-10-25 21:01
35K
litterbox-doc-1.9-r1.apk
2024-10-25 21:01
7.3K
lizardfs-3.13.0-r15.apk
2025-02-22 15:39
141K
lizardfs-bash-completion-3.13.0-r15.apk
2025-02-22 15:39
1.8K
lizardfs-cgi-3.13.0-r15.apk
2025-02-22 15:39
31K
lizardfs-cgiserv-3.13.0-r15.apk
2025-02-22 15:39
7.3K
lizardfs-cgiserv-openrc-3.13.0-r15.apk
2025-02-22 15:39
1.9K
lizardfs-chunkserver-3.13.0-r15.apk
2025-02-22 15:39
373K
lizardfs-chunkserver-openrc-3.13.0-r15.apk
2025-02-22 15:39
1.6K
lizardfs-client-3.13.0-r15.apk
2025-02-22 15:39
1.3M
lizardfs-doc-3.13.0-r15.apk
2025-02-22 15:39
11K
lizardfs-master-3.13.0-r15.apk
2025-02-22 15:39
1.0M
lizardfs-master-openrc-3.13.0-r15.apk
2025-02-22 15:39
1.6K
lizardfs-metalogger-3.13.0-r15.apk
2025-02-22 15:39
160K
lizardfs-metalogger-openrc-3.13.0-r15.apk
2025-02-22 15:39
1.6K
lkrg-0.9.6-r1.apk
2025-01-09 12:44
105K
lkrg-doc-0.9.6-r1.apk
2025-01-09 12:44
22K
lld-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
7.7K
lld-next-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
18K
lld-next-libs-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
1.8M
lldap-0.6.1-r0.apk
2025-03-14 18:12
9.9M
lldb-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
6.1M
lldb-next-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
765K
lldb-next-pyc-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
287K
llmnrd-0.7-r1.apk
2024-10-25 21:01
16K
llmnrd-doc-0.7-r1.apk
2024-10-25 21:01
3.0K
llmnrd-openrc-0.7-r1.apk
2024-10-25 21:01
1.9K
llvm-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
19M
llvm-next-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
8.1M
llvm-next-gtest-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
460K
llvm-next-libc++-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
369K
llvm-next-libc++-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
1.8M
llvm-next-libc++-static-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
689K
llvm-next-libgcc-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
54K
llvm-next-libgcc-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
2.5K
llvm-next-libs-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
28M
llvm-next-libunwind-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
17K
llvm-next-libunwind-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
20K
llvm-next-libunwind-static-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
25K
llvm-next-linker-tools-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
1.3M
llvm-next-lit-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
88K
llvm-next-lit-pyc-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
154K
llvm-next-offload-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
121K
llvm-next-offload-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
359K
llvm-next-openmp-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
586K
llvm-next-openmp-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
396K
llvm-next-polly-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
2.6M
llvm-next-runtime-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
474K
llvm-next-static-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
57M
llvm-next-test-utils-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
590K
lockrun-1.1.3-r1.apk
2024-10-25 21:01
5.4K
log4cpp-1.1.4-r1.apk
2024-10-25 21:01
71K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 21:01
39K
log4cxx-1.1.0-r3.apk
2025-02-22 15:39
505K
log4cxx-dev-1.1.0-r3.apk
2025-02-22 15:39
135K
logc-0.5.0-r0.apk
2024-10-25 21:01
8.0K
logc-argp-0.5.0-r0.apk
2024-10-25 21:01
16K
logc-config-0.5.0-r0.apk
2024-10-25 21:01
4.9K
logc-czmq-0.1.0-r0.apk
2024-10-25 21:01
3.9K
logc-dev-0.5.0-r0.apk
2024-10-25 21:01
8.9K
logc-libevent-0.1.0-r0.apk
2024-10-25 21:01
3.3K
logc-libs-0.1.0-r0.apk
2024-10-25 21:01
1.5K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 21:01
5.5K
logisim-evolution-3.8.0-r0.apk
2024-10-25 21:01
24M
logtop-0.7-r0.apk
2024-10-25 21:01
13K
logtop-doc-0.7-r0.apk
2024-10-25 21:01
2.8K
logtop-libs-0.7-r0.apk
2024-10-25 21:01
14K
logwatch-7.10-r1.apk
2024-10-25 21:01
483K
logwatch-doc-7.10-r1.apk
2024-10-25 21:01
38K
lol-html-1.1.1-r1.apk
2024-10-25 21:01
432K
lol-html-dev-1.1.1-r1.apk
2024-10-25 21:01
6.5K
lolcat-1.4-r0.apk
2024-10-25 21:01
8.9K
lomiri-0.4.1-r0.apk
2025-04-20 07:14
3.9M
lomiri-action-api-1.2.0-r0.apk
2025-04-11 07:47
77K
lomiri-action-api-dev-1.2.0-r0.apk
2025-04-11 07:47
5.0K
lomiri-api-0.2.2-r1.apk
2025-02-22 15:39
32K
lomiri-api-dev-0.2.2-r1.apk
2025-02-22 15:39
32K
lomiri-app-launch-0.1.11-r1.apk
2025-02-22 15:39
323K
lomiri-app-launch-dev-0.1.11-r1.apk
2025-02-22 15:39
20K
lomiri-calculator-app-4.0.2-r1.apk
2025-03-13 07:05
374K
lomiri-calculator-app-lang-4.0.2-r1.apk
2025-03-13 07:05
34K
lomiri-clock-app-4.1.0-r0.apk
2024-12-02 12:45
226K
lomiri-clock-app-lang-4.1.0-r0.apk
2024-12-02 12:45
449K
lomiri-content-hub-2.1.0-r0.apk
2025-04-14 00:06
276K
lomiri-content-hub-dev-2.1.0-r0.apk
2025-04-14 00:06
11K
lomiri-content-hub-doc-2.1.0-r0.apk
2025-04-14 00:06
1.4M
lomiri-content-hub-lang-2.1.0-r0.apk
2025-04-14 00:06
47K
lomiri-docviewer-app-3.0.4-r2.apk
2025-03-13 07:05
216K
lomiri-docviewer-app-doc-3.0.4-r2.apk
2025-03-13 07:05
2.0K
lomiri-docviewer-app-lang-3.0.4-r2.apk
2025-03-13 07:05
116K
lomiri-download-manager-0.2.1-r0.apk
2025-04-14 01:26
578K
lomiri-download-manager-dev-0.2.1-r0.apk
2025-04-14 01:26
17K
lomiri-download-manager-doc-0.2.1-r0.apk
2025-04-14 01:26
3.4M
lomiri-download-manager-lang-0.2.1-r0.apk
2025-04-14 01:26
30K
lomiri-filemanager-app-1.0.4-r1.apk
2025-03-13 07:05
323K
lomiri-filemanager-app-lang-1.0.4-r1.apk
2025-03-13 07:05
171K
lomiri-gallery-app-3.0.2-r1.apk
2025-03-13 07:05
3.7M
lomiri-gallery-app-lang-3.0.2-r1.apk
2025-03-13 07:05
106K
lomiri-history-service-0.6-r4.apk
2025-04-13 23:31
346K
lomiri-history-service-dev-0.6-r4.apk
2025-04-13 23:31
11K
lomiri-indicator-location-0_git20231227-r2.apk
2025-03-13 07:05
28K
lomiri-indicator-location-lang-0_git20231227-r2.apk
2025-03-13 07:05
29K
lomiri-indicator-network-1.1.0-r1.apk
2025-02-22 15:39
602K
lomiri-indicator-network-dev-1.1.0-r1.apk
2025-02-22 15:39
9.5K
lomiri-indicator-network-doc-1.1.0-r1.apk
2025-02-22 15:39
2.0K
lomiri-indicator-network-lang-1.1.0-r1.apk
2025-02-22 15:39
190K
lomiri-lang-0.4.1-r0.apk
2025-04-20 07:14
269K
lomiri-libusermetrics-1.3.3-r1.apk
2025-02-22 15:39
162K
lomiri-libusermetrics-dev-1.3.3-r1.apk
2025-02-22 15:39
7.8K
lomiri-libusermetrics-doc-1.3.3-r1.apk
2025-02-22 15:39
228K
lomiri-libusermetrics-lang-1.3.3-r1.apk
2025-02-22 15:39
43K
lomiri-location-service-3.3.0-r2.apk
2025-03-02 09:30
2.1M
lomiri-location-service-dev-3.3.0-r2.apk
2025-03-02 09:30
30K
lomiri-location-service-doc-3.3.0-r2.apk
2025-03-02 09:30
2.8K
lomiri-location-service-lang-3.3.0-r2.apk
2025-03-02 09:30
26K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 11:09
92K
lomiri-schemas-0.1.7-r0.apk
2025-01-26 01:11
11K
lomiri-settings-components-1.1.2-r0.apk
2025-01-10 11:09
218K
lomiri-settings-components-lang-1.1.2-r0.apk
2025-01-10 11:09
98K
lomiri-sounds-22.02-r1.apk
2025-03-13 07:05
18M
lomiri-system-settings-1.3.0-r2.apk
2025-04-03 02:11
1.0M
lomiri-system-settings-lang-1.3.0-r2.apk
2025-04-03 02:11
826K
lomiri-telephony-service-0.6.0-r2.apk
2025-04-13 23:31
1.0M
lomiri-telephony-service-lang-0.6.0-r2.apk
2025-04-13 23:31
107K
lomiri-terminal-app-2.0.4-r0.apk
2025-03-11 12:19
63K
lomiri-terminal-app-doc-2.0.4-r0.apk
2025-03-11 12:19
2.6K
lomiri-terminal-app-lang-2.0.4-r0.apk
2025-03-11 12:19
90K
lomiri-thumbnailer-3.0.4-r2.apk
2025-02-22 15:39
215K
lomiri-thumbnailer-dev-3.0.4-r2.apk
2025-02-22 15:39
5.1K
lomiri-thumbnailer-doc-3.0.4-r2.apk
2025-02-22 15:39
1.5K
lomiri-trust-store-2.0.2-r8.apk
2025-02-27 22:26
950K
lomiri-trust-store-dev-2.0.2-r8.apk
2025-02-27 22:26
9.1K
lomiri-trust-store-lang-2.0.2-r8.apk
2025-02-27 22:26
28K
lomiri-ui-extras-0.7.0-r0.apk
2025-04-11 07:47
251K
lomiri-ui-extras-lang-0.7.0-r0.apk
2025-04-11 07:47
52K
lomiri-ui-toolkit-1.3.5110-r0.apk
2025-01-10 11:09
1.3M
lomiri-ui-toolkit-dev-1.3.5110-r0.apk
2025-01-10 11:09
168K
lomiri-ui-toolkit-lang-1.3.5110-r0.apk
2025-01-10 11:09
96K
lomiri-url-dispatcher-0.1.3-r3.apk
2025-02-22 15:39
37K
lomiri-url-dispatcher-dev-0.1.3-r3.apk
2025-02-22 15:39
3.3K
lomiri-url-dispatcher-lang-0.1.3-r3.apk
2025-02-22 15:39
18K
lomiri-weather-app-6.0.2-r0.apk
2025-03-11 13:02
299K
lomiri-weather-app-lang-6.0.2-r0.apk
2025-03-11 13:02
214K
looking-glass-6b-r2.apk
2024-10-25 21:01
741K
looking-glass-obs-6b-r2.apk
2024-10-25 21:01
24K
lotide-0.15.0-r0.apk
2024-10-25 21:01
3.3M
lotide-openrc-0.15.0-r0.apk
2024-10-25 21:01
3.1K
lottieconverter-0.2_git20231219-r0.apk
2024-10-25 21:01
13K
lottieconverter-doc-0.2_git20231219-r0.apk
2024-10-25 21:01
2.4K
lout-3.42.2-r0.apk
2024-10-25 21:01
1.4M
lout-doc-3.42.2-r0.apk
2024-10-25 21:01
453K
lowjs-1.6.2-r2.apk
2024-10-25 21:01
1.3M
lowjs-doc-1.6.2-r2.apk
2024-10-25 21:01
3.0K
lrcalc-2.1-r1.apk
2024-10-25 21:01
11K
lrcalc-dev-2.1-r1.apk
2024-10-25 21:01
11K
lrcalc-libs-2.1-r1.apk
2024-10-25 21:01
26K
lsdvd-0.17-r0.apk
2024-10-25 21:01
13K
lsdvd-doc-0.17-r0.apk
2024-10-25 21:01
2.5K
lshell-0.9.18-r11.apk
2024-10-25 21:01
36K
lshell-doc-0.9.18-r11.apk
2024-10-25 21:01
25K
lshell-pyc-0.9.18-r11.apk
2024-10-25 21:01
35K
lsix-1.8.2-r0.apk
2024-10-25 21:01
6.5K
lsmash-2.14.5-r2.apk
2024-10-25 21:01
279K
lsmash-dev-2.14.5-r2.apk
2024-10-25 21:01
355K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 21:01
1.2K
lua-fn-0.1.0-r0.apk
2024-10-25 21:01
3.4K
lua-inet-0.2.0-r1.apk
2024-10-25 21:01
9.1K
lua-lanes-3.16.0-r1.apk
2024-10-25 21:01
1.5K
lua-lcurses-9.0.0-r0.apk
2024-10-25 21:01
1.1K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 21:01
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 21:01
19K
lua-linenoise-0.9-r1.apk
2024-10-25 21:01
1.2K
lua-luastatic-0.0.12-r1.apk
2024-10-25 21:01
1.5K
lua-lupa-1.0-r0.apk
2024-10-25 21:01
20K
lua-lut-1.2.1-r0.apk
2024-10-25 21:01
89K
lua-psl-0.3-r0.apk
2024-10-25 21:01
1.1K
lua-resty-redis-0.29-r0.apk
2024-10-25 21:01
5.3K
lua-resty-upload-0.11-r0.apk
2024-10-25 21:01
3.6K
lua-xml-1.1.3-r2.apk
2024-10-25 21:01
1.4K
lua5.1-lanes-3.16.0-r1.apk
2024-10-25 21:01
60K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 21:01
25K
lua5.1-libguestfs-1.52.0-r1.apk
2024-10-25 21:01
81K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 21:01
10K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 21:01
18K
lua5.1-luacov-0.15.0-r0.apk
2024-10-25 21:01
23K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 21:01
413K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 21:01
78K
lua5.1-psl-0.3-r0.apk
2024-10-25 21:01
6.4K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 21:01
23K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 21:01
4.5K
lua5.2-lanes-3.16.0-r1.apk
2024-10-25 21:01
60K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 21:01
10K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 21:01
18K
lua5.2-luacov-0.15.0-r0.apk
2024-10-25 21:01
23K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 21:01
413K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 21:01
8.9K
lua5.2-psl-0.3-r0.apk
2024-10-25 21:01
6.3K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 21:01
23K
lua5.3-apk3-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
5.6K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 21:01
4.6K
lua5.3-lanes-3.16.0-r1.apk
2024-10-25 21:01
61K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 21:01
18K
lua5.3-luacov-0.15.0-r0.apk
2024-10-25 21:01
23K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 21:01
413K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 21:01
9.0K
lua5.3-psl-0.3-r0.apk
2024-10-25 21:01
6.3K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 21:01
4.6K
lua5.4-lanes-3.16.0-r1.apk
2024-10-25 21:01
60K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 21:01
18K
lua5.4-luacov-0.15.0-r0.apk
2024-10-25 21:01
23K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 21:01
9.0K
luacov-0.15.0-r0.apk
2024-10-25 21:01
1.4K
luacov-html-1.0.0-r1.apk
2024-10-25 21:01
1.2K
luapak-0.1.0_beta5-r0.apk
2024-10-25 21:01
36K
luksmeta-9-r0.apk
2024-10-25 21:01
13K
luksmeta-dev-9-r0.apk
2024-10-25 21:01
3.1K
luksmeta-doc-9-r0.apk
2024-10-25 21:01
5.5K
lumina-desktop-1.6.2-r0.apk
2024-10-25 21:01
1.2K
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 21:01
164K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 21:01
8.9M
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 21:01
814K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 21:01
12K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 21:01
158K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 21:01
387K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 21:01
197K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 21:01
123K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 21:01
165K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 21:01
95K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 21:01
190K
lumins-0.4.0-r2.apk
2024-10-25 21:01
659K
lurk-0.3.9-r0.apk
2024-12-21 13:32
631K
lurk-doc-0.3.9-r0.apk
2024-12-21 13:32
9.7K
lutgen-0.11.2-r0.apk
2024-10-25 21:01
1.6M
lutgen-bash-completion-0.11.2-r0.apk
2024-10-25 21:01
1.7K
lutgen-doc-0.11.2-r0.apk
2024-10-25 21:01
4.2K
lutgen-fish-completion-0.11.2-r0.apk
2024-10-25 21:01
1.7K
lutgen-zsh-completion-0.11.2-r0.apk
2024-10-25 21:01
1.6K
lwan-0.1-r2.apk
2024-10-25 21:01
71K
lwan-dbg-0.1-r2.apk
2024-10-25 21:01
396K
lwan-dev-0.1-r2.apk
2024-10-25 21:01
10K
lwan-libs-0.1-r2.apk
2024-10-25 21:01
70K
lxappearance-0.6.3-r3.apk
2024-10-25 21:01
30K
lxappearance-dev-0.6.3-r3.apk
2024-10-25 21:01
3.2K
lxappearance-doc-0.6.3-r3.apk
2024-10-25 21:01
2.6K
lxappearance-lang-0.6.3-r3.apk
2024-10-25 21:01
81K
lxd-5.0.3-r10.apk
2025-04-13 23:31
15M
lxd-bash-completion-5.0.3-r10.apk
2025-04-13 23:31
5.1K
lxd-client-5.0.3-r10.apk
2025-04-13 23:31
6.3M
lxd-feature-5.20-r10.apk
2025-04-13 23:31
69M
lxd-feature-bash-completion-5.20-r10.apk
2025-04-13 23:31
5.1K
lxd-feature-doc-5.20-r10.apk
2025-04-13 23:31
1.7K
lxd-feature-openrc-5.20-r10.apk
2025-04-13 23:31
2.4K
lxd-feature-scripts-5.20-r10.apk
2025-04-13 23:31
2.1K
lxd-openrc-5.0.3-r10.apk
2025-04-13 23:31
2.5K
lxd-scripts-5.0.3-r10.apk
2025-04-13 23:31
26M
lxd-vm-5.0.3-r10.apk
2025-04-13 23:31
1.3K
lxqt-wayland-session-0.1.0-r0.apk
2025-01-11 11:32
332K
lxqt-wayland-session-doc-0.1.0-r0.apk
2025-01-11 11:32
26K
lychee-0.18.1-r0.apk
2025-03-21 17:21
2.9M
lychee-doc-0.18.1-r0.apk
2025-03-21 17:21
12K
lynis-3.1.1-r0.apk
2024-10-25 21:01
271K
lynis-bash-completion-3.1.1-r0.apk
2024-10-25 21:01
3.0K
lynis-doc-3.1.1-r0.apk
2024-10-25 21:01
49K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 11:09
38K
lzfse-1.0-r0.apk
2024-10-25 21:01
19K
lzfse-dev-1.0-r0.apk
2024-10-25 21:01
3.4K
m2r2-0.3.3-r3.apk
2024-10-25 21:01
13K
m2r2-pyc-0.3.3-r3.apk
2024-10-25 21:01
16K
ma1sd-2.5.0-r3.apk
2024-10-25 21:01
38M
ma1sd-openrc-2.5.0-r3.apk
2024-10-25 21:01
2.0K
macchina-6.1.8-r1.apk
2024-10-25 21:01
524K
macchina-doc-6.1.8-r1.apk
2024-10-25 21:01
5.6K
maddy-0.8.1-r2.apk
2025-04-13 23:31
9.9M
maddy-doc-0.8.1-r2.apk
2025-04-13 23:31
2.4K
maddy-openrc-0.8.1-r2.apk
2025-04-13 23:31
2.0K
maddy-vim-0.8.1-r2.apk
2025-04-13 23:31
3.6K
mage-1.13.0-r22.apk
2025-04-13 23:31
1.6M
mailctl-0.9.2-r0.apk
2024-10-25 21:01
6.8M
mailctl-bash-completion-0.9.2-r0.apk
2024-10-25 21:01
1.8K
mailctl-doc-0.9.2-r0.apk
2024-10-25 21:01
5.1K
mailctl-fish-completion-0.9.2-r0.apk
2024-10-25 21:01
1.9K
mailctl-zsh-completion-0.9.2-r0.apk
2024-10-25 21:01
2.0K
maildir2rss-0.0.7-r4.apk
2025-04-13 23:31
3.7M
mailsec-check-0_git20210729-r25.apk
2025-04-13 23:31
2.7M
mailtutan-0.3.0-r0.apk
2024-10-25 21:01
1.3M
mailutils-3.19-r0.apk
2025-03-24 08:07
213K
mailutils-dev-3.19-r0.apk
2025-03-24 08:07
3.4M
mailutils-doc-3.19-r0.apk
2025-03-24 08:07
160K
mailutils-libs-3.19-r0.apk
2025-03-24 08:07
507K
mailutils-mh-3.19-r0.apk
2025-03-24 08:07
1.4M
mailutils-servers-3.19-r0.apk
2025-03-24 08:07
76K
makeclapman-2.4.4-r4.apk
2025-04-13 23:31
1.3M
makeclapman-doc-2.4.4-r4.apk
2025-04-13 23:31
4.2K
makedumpfile-1.7.7-r0.apk
2025-04-22 16:41
186K
makedumpfile-doc-1.7.7-r0.apk
2025-04-22 16:41
24K
makedumpfile-openrc-1.7.7-r0.apk
2025-04-22 16:41
2.9K
makeself-2.5.0-r0.apk
2024-10-25 21:01
13K
mame-0.251-r0.apk
2024-10-25 21:02
96M
mame-arcade-0.251-r0.apk
2024-10-25 21:02
68M
mame-common-0.251-r0.apk
2024-10-25 21:02
2.7K
mame-data-0.251-r0.apk
2024-10-25 21:02
19M
mame-doc-0.251-r0.apk
2024-10-25 21:02
24K
mame-lang-0.251-r0.apk
2024-10-25 21:02
1.4M
mame-mess-0.251-r0.apk
2024-10-25 21:02
52M
mame-plugins-0.251-r0.apk
2024-10-25 21:02
166K
mame-tools-0.251-r0.apk
2024-10-25 21:02
2.6M
mangal-4.0.6-r17.apk
2025-04-13 23:31
11M
mangal-bash-completion-4.0.6-r17.apk
2025-04-13 23:31
5.0K
mangal-fish-completion-4.0.6-r17.apk
2025-04-13 23:31
3.9K
mangal-zsh-completion-4.0.6-r17.apk
2025-04-13 23:31
4.0K
mangr0ve-0.1.2-r0.apk
2024-10-25 21:02
2.8K
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 21:02
14K
manifest-tool-2.2.0-r0.apk
2025-04-13 23:31
4.1M
manuals-48.1-r0.apk
2025-04-19 21:02
113K
mapnik-4.0.6-r0.apk
2025-04-03 02:11
12M
mapnik-dev-4.0.6-r0.apk
2025-04-03 02:11
485K
mapnik-doc-4.0.6-r0.apk
2025-04-03 02:11
142K
mapserver-8.4.0-r0.apk
2025-01-19 17:45
1.3M
mapserver-dev-8.4.0-r0.apk
2025-01-19 17:45
540K
markdown-oxide-0.25.1-r0.apk
2025-04-14 01:54
1.7M
marxan-4.0.7-r1.apk
2024-10-25 21:02
541K
masky-0.2.0-r1.apk
2024-10-25 21:02
278K
masky-pyc-0.2.0-r1.apk
2024-10-25 21:02
64K
mat2-0.13.4-r3.apk
2024-10-25 21:02
35K
mat2-doc-0.13.4-r3.apk
2024-10-25 21:02
7.7K
mat2-pyc-0.13.4-r3.apk
2024-10-25 21:02
54K
materia-20210322-r1.apk
2024-10-25 21:02
1.7K
materia-chromium-20210322-r1.apk
2024-10-25 21:02
5.7K
materia-compact-20210322-r1.apk
2024-10-25 21:02
1.7K
materia-compact-chromium-20210322-r1.apk
2024-10-25 21:02
5.7K
materia-compact-gnome-shell-20210322-r1.apk
2024-10-25 21:02
32K
materia-compact-gtk2-20210322-r1.apk
2024-10-25 21:02
38K
materia-compact-gtk3-20210322-r1.apk
2024-10-25 21:02
65K
materia-dark-20210322-r1.apk
2024-10-25 21:02
1.7K
materia-dark-chromium-20210322-r1.apk
2024-10-25 21:02
5.7K
materia-dark-compact-20210322-r1.apk
2024-10-25 21:02
1.7K
materia-dark-compact-chromium-20210322-r1.apk
2024-10-25 21:02
5.7K
materia-dark-compact-gnome-shell-20210322-r1.apk
2024-10-25 21:02
32K
materia-dark-compact-gtk2-20210322-r1.apk
2024-10-25 21:02
38K
materia-dark-compact-gtk3-20210322-r1.apk
2024-10-25 21:02
41K
materia-dark-compact-kde-kvantum-20220823-r0.apk
2024-10-25 21:02
1.5K
materia-dark-gnome-shell-20210322-r1.apk
2024-10-25 21:02
32K
materia-dark-gtk2-20210322-r1.apk
2024-10-25 21:02
38K
materia-dark-gtk3-20210322-r1.apk
2024-10-25 21:02
41K
materia-dark-kde-konsole-20220823-r0.apk
2024-10-25 21:02
1.8K
materia-dark-kde-kvantum-20220823-r0.apk
2024-10-25 21:02
30K
materia-dark-kde-plasma-20220823-r0.apk
2024-10-25 21:02
503K
materia-dark-kde-yakuake-20220823-r0.apk
2024-10-25 21:02
22K
materia-gnome-shell-20210322-r1.apk
2024-10-25 21:02
32K
materia-gtk-theme-20210322-r1.apk
2024-10-25 21:02
151K
materia-gtk2-20210322-r1.apk
2024-10-25 21:02
38K
materia-gtk3-20210322-r1.apk
2024-10-25 21:02
65K
materia-kde-20220823-r0.apk
2024-10-25 21:02
19K
materia-kde-konsole-20220823-r0.apk
2024-10-25 21:02
1.8K
materia-kde-kvantum-20220823-r0.apk
2024-10-25 21:02
30K
materia-kde-plasma-20220823-r0.apk
2024-10-25 21:02
1.7M
materia-light-compact-kde-kvantum-20220823-r0.apk
2024-10-25 21:02
1.5K
materia-light-kde-kvantum-20220823-r0.apk
2024-10-25 21:02
30K
materia-light-kde-plasma-20220823-r0.apk
2024-10-25 21:02
20K
materia-light-kde-yakuake-20220823-r0.apk
2024-10-25 21:02
21K
mattermost-desktop-5.11.0-r1.apk
2025-03-24 05:33
4.2M
mautrix-bluesky-0.1.1-r1.apk
2025-04-13 23:31
8.6M
mautrix-bluesky-doc-0.1.1-r1.apk
2025-04-13 23:31
13K
mautrix-bluesky-openrc-0.1.1-r1.apk
2025-04-13 23:31
2.0K
mautrix-discord-0.7.3-r0.apk
2025-04-16 19:46
6.3M
mautrix-discord-doc-0.7.3-r0.apk
2025-04-16 19:46
13K
mautrix-discord-openrc-0.7.3-r0.apk
2025-04-16 19:46
2.0K
mautrix-twitter-0.4.0-r0.apk
2025-04-16 19:46
6.3M
mautrix-twitter-doc-0.4.0-r0.apk
2025-04-16 19:46
13K
mautrix-twitter-openrc-0.4.0-r0.apk
2025-04-16 19:46
2.0K
maxima-5.47.0-r8.apk
2024-10-25 21:02
22M
maxima-bash-completion-5.47.0-r8.apk
2024-10-25 21:02
2.4K
maxima-doc-5.47.0-r8.apk
2024-10-25 21:02
761K
maxima-doc-extra-5.47.0-r8.apk
2024-10-25 21:02
10M
maxima-emacs-5.47.0-r8.apk
2024-10-25 21:02
111K
mbpfan-2.4.0-r1.apk
2024-10-25 21:02
13K
mbpfan-doc-2.4.0-r1.apk
2024-10-25 21:02
5.3K
mbpfan-openrc-2.4.0-r1.apk
2024-10-25 21:02
1.6K
mbrola-3.3-r0.apk
2024-10-25 21:02
22K
mcjoin-2.11-r0.apk
2024-10-25 21:02
23K
mcjoin-doc-2.11-r0.apk
2024-10-25 21:02
54K
mcman-0.4.5-r0.apk
2024-10-25 21:02
2.9M
mcman-doc-0.4.5-r0.apk
2024-10-25 21:02
14K
mcqd-1.0.0-r1.apk
2024-10-25 21:02
13K
mcqd-dev-1.0.0-r1.apk
2024-10-25 21:02
4.1K
mcron-1.0.0-r1.apk
2024-11-29 01:08
277K
mcron-doc-1.0.0-r1.apk
2024-11-29 01:08
2.7K
mcron-openrc-1.0.0-r1.apk
2024-11-29 01:08
1.7K
md5ha1-0_git20171202-r1.apk
2024-10-25 21:02
8.8K
mdbook-admonish-1.18.0-r0.apk
2024-12-27 10:32
1.0M
mdbook-alerts-0.7.0-r0.apk
2025-01-11 13:09
744K
mdbook-katex-0.9.2-r0.apk
2025-01-25 22:41
1.3M
mdbook-mermaid-0.14.1-r0.apk
2025-04-15 00:08
1.6M
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 21:02
887K
mdcat-2.7.1-r0.apk
2024-12-14 19:04
3.0M
mdcat-bash-completion-2.7.1-r0.apk
2024-12-14 19:04
2.2K
mdcat-doc-2.7.1-r0.apk
2024-12-14 19:04
6.0K
mdcat-fish-completion-2.7.1-r0.apk
2024-12-14 19:04
2.1K
mdcat-zsh-completion-2.7.1-r0.apk
2024-12-14 19:04
2.4K
mdnsd-0.12-r1.apk
2024-10-25 21:02
24K
mdnsd-doc-0.12-r1.apk
2024-10-25 21:02
14K
mdnsd-libs-0.12-r1.apk
2024-10-25 21:02
18K
mdnsd-openrc-0.12-r1.apk
2024-10-25 21:02
2.1K
mdnsd-static-0.12-r1.apk
2024-10-25 21:02
17K
mdp-1.0.15-r1.apk
2024-10-25 21:02
17K
mdp-doc-1.0.15-r1.apk
2024-10-25 21:02
3.7K
mediascanner2-0.115-r3.apk
2025-03-13 07:05
252K
mediastreamer2-5.3.100-r0.apk
2025-02-22 15:39
359K
mediastreamer2-dev-5.3.100-r0.apk
2025-02-22 15:39
110K
mediastreamer2-doc-5.3.100-r0.apk
2025-02-22 15:39
108K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-11 12:19
11K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 21:02
7.7K
meep-1.29.0-r0.apk
2024-10-25 21:02
634K
meep-dev-1.29.0-r0.apk
2024-10-25 21:02
499K
megatools-1.11.3.20250203-r0.apk
2025-03-02 12:54
61K
megatools-bash-completion-1.11.3.20250203-r0.apk
2025-03-02 12:54
4.0K
megatools-doc-1.11.3.20250203-r0.apk
2025-03-02 12:54
52K
megazeux-2.93b-r0.apk
2024-10-25 21:02
1.1M
megazeux-doc-2.93b-r0.apk
2024-10-25 21:02
454K
melange-0.23.8-r0.apk
2025-04-20 22:14
17M
melange-bash-completion-0.23.8-r0.apk
2025-04-20 22:14
6.7K
melange-fish-completion-0.23.8-r0.apk
2025-04-20 22:14
4.3K
melange-zsh-completion-0.23.8-r0.apk
2025-04-20 22:14
4.0K
meli-0.8.10-r0.apk
2024-12-16 11:18
4.5M
meli-doc-0.8.10-r0.apk
2024-12-16 11:18
47K
memdump-1.01-r1.apk
2024-10-25 21:02
5.7K
memdump-doc-1.01-r1.apk
2024-10-25 21:02
3.1K
menumaker-0.99.14-r1.apk
2024-10-25 21:02
111K
mergerfs-2.40.2-r1.apk
2024-10-25 21:02
278K
mergerfs-doc-2.40.2-r1.apk
2024-10-25 21:02
42K
merlin-4.14-r0.apk
2024-10-25 21:02
14M
merlin-dev-4.14-r0.apk
2024-10-25 21:02
23M
merlin-emacs-4.14-r0.apk
2024-10-25 21:02
29K
merlin-vim-4.14-r0.apk
2024-10-25 21:02
28K
meson-tools-0.1-r2.apk
2024-12-09 17:38
8.0K
meson-tools-doc-0.1-r2.apk
2024-12-09 17:38
8.3K
mesonlsp-4.3.7-r2.apk
2025-03-12 15:42
2.1M
metadata-cleaner-2.5.6-r0.apk
2025-01-31 16:05
49K
metadata-cleaner-doc-2.5.6-r0.apk
2025-01-31 16:05
1.9M
metadata-cleaner-lang-2.5.6-r0.apk
2025-01-31 16:05
66K
metalang99-1.13.3-r0.apk
2024-10-25 21:02
54K
metee-4.0.0-r0.apk
2024-10-25 21:02
12K
metee-dev-4.0.0-r0.apk
2024-10-25 21:02
4.6K
milkytracker-1.04.00-r2.apk
2024-10-25 21:02
1.0M
milkytracker-doc-1.04.00-r2.apk
2024-10-25 21:02
50K
mimalloc1-1.8.6-r0.apk
2024-10-25 21:02
65K
mimalloc1-debug-1.8.6-r0.apk
2024-10-25 21:02
185K
mimalloc1-dev-1.8.6-r0.apk
2024-10-25 21:02
447K
mimalloc1-insecure-1.8.6-r0.apk
2024-10-25 21:02
59K
mimedefang-3.6-r0.apk
2025-03-02 13:15
154K
mimedefang-doc-3.6-r0.apk
2025-03-02 13:15
80K
mimeo-2023-r2.apk
2024-10-25 21:02
28K
mimeo-pyc-2023-r2.apk
2024-10-25 21:02
42K
mingw-w64-libusb-1.0.28-r0.apk
2025-04-10 16:09
216K
minidyndns-1.3.0-r3.apk
2024-10-25 21:02
12K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 21:02
5.1K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 21:02
1.8K
minikube-1.34.0-r4.apk
2025-04-13 23:31
21M
minikube-bash-completion-1.34.0-r4.apk
2025-04-13 23:31
10K
minikube-fish-completion-1.34.0-r4.apk
2025-04-13 23:31
4.6K
minikube-zsh-completion-1.34.0-r4.apk
2025-04-13 23:31
11K
minimodem-0.24-r1.apk
2024-10-25 21:02
21K
minimodem-doc-0.24-r1.apk
2024-10-25 21:02
5.2K
minisatip-1.3.4-r0.apk
2024-10-25 21:02
311K
minisatip-openrc-1.3.4-r0.apk
2024-10-25 21:02
1.9K
mint-themes-2.1.1-r0.apk
2024-10-25 21:02
2.2K
mint-themes-doc-2.1.1-r0.apk
2024-10-25 21:02
13K
mint-x-icons-1.7.2-r0.apk
2024-12-24 11:07
22M
mint-x-icons-doc-1.7.2-r0.apk
2024-12-24 11:07
7.4K
mint-x-theme-2.1.1-r0.apk
2024-10-25 21:02
2.3K
mint-x-theme-gtk2-2.1.1-r0.apk
2024-10-25 21:02
490K
mint-x-theme-gtk3-2.1.1-r0.apk
2024-10-25 21:02
603K
mint-x-theme-gtk4-2.1.1-r0.apk
2024-10-25 21:02
510K
mint-x-theme-metacity-2.1.1-r0.apk
2024-10-25 21:02
6.1K
mint-x-theme-xfwm4-2.1.1-r0.apk
2024-10-25 21:02
35K
mint-y-icons-1.8.3-r0.apk
2025-02-05 23:22
72M
mint-y-icons-doc-1.8.3-r0.apk
2025-02-05 23:22
11K
mint-y-theme-2.1.1-r0.apk
2024-10-25 21:02
4.1K
mint-y-theme-gtk2-2.1.1-r0.apk
2024-10-25 21:02
656K
mint-y-theme-gtk3-2.1.1-r0.apk
2024-10-25 21:02
1.8M
mint-y-theme-gtk4-2.1.1-r0.apk
2024-10-25 21:02
1.6M
mint-y-theme-metacity-2.1.1-r0.apk
2024-10-25 21:02
58K
mint-y-theme-xfwm4-2.1.1-r0.apk
2024-10-25 21:02
207K
mir-2.20.2-r0.apk
2025-04-18 07:47
2.1M
mir-demos-2.20.2-r0.apk
2025-04-18 07:47
144K
mir-dev-2.20.2-r0.apk
2025-04-18 07:47
7.6M
mir-test-tools-2.20.2-r0.apk
2025-04-18 07:47
271K
mirrorhall-0.1.1-r1.apk
2025-01-14 16:29
26K
mitra-3.23.0-r0.apk
2025-04-16 19:39
12M
mitra-doc-3.23.0-r0.apk
2025-04-16 19:39
25K
mitra-openrc-3.23.0-r0.apk
2025-04-16 19:39
1.9K
mjpg-streamer-0_git20210220-r1.apk
2024-10-25 21:02
186K
mkbrr-1.9.0-r0.apk
2025-04-17 21:59
4.2M
mkcert-1.4.4-r18.apk
2025-04-13 23:31
1.8M
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 21:02
29K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 21:02
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 21:02
260K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 21:02
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 21:02
791K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 21:02
1.8K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 21:02
538K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 21:02
4.7K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 21:02
249K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 21:02
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 21:02
651K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 21:02
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 21:02
644K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 21:02
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 21:02
11K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 21:02
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 21:02
248K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 21:02
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 21:02
944K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 21:02
1.8K
mkg3a-0.5.0-r1.apk
2024-10-25 21:02
14K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 21:02
3.1K
mkosi-25.3-r0.apk
2025-02-02 01:32
251K
mkosi-pyc-25.3-r0.apk
2025-02-02 01:32
393K
mkrundir-0.4.0-r1.apk
2024-11-29 01:08
75K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 21:02
9.8K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 21:02
2.5K
mlir-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
16M
mlir-next-dev-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
5.3M
mlir-next-libs-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
16M
mlir-next-static-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
43M
mlxl-0.1-r0.apk
2024-10-25 21:02
6.0K
mm-1.4.2-r1.apk
2024-10-25 21:02
7.9K
mm-common-1.0.5-r0.apk
2024-10-25 21:02
473K
mm-common-doc-1.0.5-r0.apk
2024-10-25 21:02
32K
mm-dev-1.4.2-r1.apk
2024-10-25 21:02
13K
mm-doc-1.4.2-r1.apk
2024-10-25 21:02
14K
mmix-0_git20221025-r0.apk
2024-10-25 21:02
157K
mml-1.0.0-r0.apk
2024-10-25 21:02
862K
mml-bash-completion-1.0.0-r0.apk
2024-10-25 21:02
2.3K
mml-doc-1.0.0-r0.apk
2024-10-25 21:02
3.9K
mml-fish-completion-1.0.0-r0.apk
2024-10-25 21:02
2.3K
mml-zsh-completion-1.0.0-r0.apk
2024-10-25 21:02
2.8K
mmtc-0.3.2-r0.apk
2024-10-25 21:02
490K
mnamer-2.5.5-r1.apk
2024-10-25 21:02
32K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 21:02
60K
mnemosyne-2.10.1-r1.apk
2024-10-25 21:02
607K
mnemosyne-lang-2.10.1-r1.apk
2024-10-25 21:02
439K
mnemosyne-pyc-2.10.1-r1.apk
2024-10-25 21:02
628K
mobpass-0.2-r6.apk
2024-10-25 21:02
18K
mobpass-pyc-0.2-r6.apk
2024-10-25 21:02
5.2K
mobroute-0.9.0-r4.apk
2025-04-13 23:31
4.7M
mobroute-doc-0.9.0-r4.apk
2025-04-13 23:31
1.3M
moccasin-0.1.3-r0.apk
2024-10-25 21:02
1.8M
moccasin-doc-0.1.3-r0.apk
2024-10-25 21:02
5.3K
mod_dnssd-0.6-r0.apk
2024-10-25 21:02
8.3K
modem-manager-gui-0.0.20-r0.apk
2024-10-25 21:02
343K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 21:02
3.9M
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 21:02
129K
moe-1.14-r0.apk
2024-10-25 21:02
104K
moe-doc-1.14-r0.apk
2024-10-25 21:02
19K
moka-icon-theme-5.4.0-r2.apk
2024-10-25 21:02
114M
monetdb-11.33.11-r4.apk
2024-10-25 21:02
2.4M
monetdb-dev-11.33.11-r4.apk
2024-10-25 21:02
77K
monetdb-doc-11.33.11-r4.apk
2024-10-25 21:02
321K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 21:02
177K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 21:02
89K
mono-6.12.0.205-r1.apk
2024-10-25 21:02
84M
mono-dev-6.12.0.205-r1.apk
2024-10-25 21:02
683K
mono-doc-6.12.0.205-r1.apk
2024-10-25 21:02
152K
mono-lang-6.12.0.205-r1.apk
2024-10-25 21:02
35K
monopd-0.10.4-r0.apk
2025-01-11 12:13
91K
monopd-openrc-0.10.4-r0.apk
2025-01-11 12:13
1.7K
moon-buggy-1.0.51-r1.apk
2024-10-25 21:02
36K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 21:02
7.1K
moosefs-4.56.6-r0.apk
2025-02-22 15:39
264K
moosefs-cgi-4.56.6-r0.apk
2025-02-22 15:39
121K
moosefs-cgiserv-4.56.6-r0.apk
2025-02-22 15:39
7.8K
moosefs-cgiserv-openrc-4.56.6-r0.apk
2025-02-22 15:39
1.9K
moosefs-chunkserver-4.56.6-r0.apk
2025-02-22 15:39
202K
moosefs-chunkserver-openrc-4.56.6-r0.apk
2025-02-22 15:39
1.6K
moosefs-client-4.56.6-r0.apk
2025-02-22 15:39
636K
moosefs-doc-4.56.6-r0.apk
2025-02-22 15:39
95K
moosefs-master-4.56.6-r0.apk
2025-02-22 15:39
364K
moosefs-master-openrc-4.56.6-r0.apk
2025-02-22 15:39
1.6K
moosefs-metalogger-4.56.6-r0.apk
2025-02-22 15:39
39K
moosefs-metalogger-openrc-4.56.6-r0.apk
2025-02-22 15:39
1.6K
moosefs-static-4.56.6-r0.apk
2025-02-22 15:39
697K
morph-browser-1.1.2-r0.apk
2025-01-26 01:11
541K
morph-browser-lang-1.1.2-r0.apk
2025-01-26 01:11
327K
motion-4.7.0-r0.apk
2024-10-25 21:02
143K
motion-doc-4.7.0-r0.apk
2024-10-25 21:02
140K
motion-lang-4.7.0-r0.apk
2024-10-25 21:02
471K
motion-openrc-4.7.0-r0.apk
2024-10-25 21:02
2.2K
mp3gain-1.6.2-r3.apk
2025-01-03 17:47
32K
mp3val-0.1.8-r1.apk
2024-10-25 21:02
13K
mpdcron-0.3-r1.apk
2024-10-25 21:02
99K
mpdcron-dev-0.3-r1.apk
2024-10-25 21:02
55K
mpdcron-doc-0.3-r1.apk
2024-10-25 21:02
13K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 21:02
2.9K
mpdris2-0.9.1-r3.apk
2024-10-25 21:02
15K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 21:02
15K
mpdris2-lang-0.9.1-r3.apk
2024-10-25 21:02
2.3K
mpdris2-rs-0.2.3-r0.apk
2024-10-25 21:02
731K
mpdris2-rs-doc-0.2.3-r0.apk
2024-10-25 21:02
14K
mpop-1.4.21-r0.apk
2025-01-14 06:15
68K
mpop-doc-1.4.21-r0.apk
2025-01-14 06:15
33K
mpop-lang-1.4.21-r0.apk
2025-01-14 06:15
130K
mpop-vim-1.4.21-r0.apk
2025-01-14 06:15
2.6K
mpv-sponsorblock-2.1.0-r0.apk
2024-10-25 21:02
1.5M
mqtt2prometheus-0.1.7-r15.apk
2025-04-13 23:31
4.6M
mrsh-0_git20210518-r1.apk
2024-10-25 21:02
5.7K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 21:02
205K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 21:02
10K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 21:02
59K
msgpuck-2.0-r1.apk
2024-10-25 21:02
1.2K
msgpuck-dev-2.0-r1.apk
2024-10-25 21:02
22K
msgpuck-doc-2.0-r1.apk
2024-10-25 21:02
7.3K
msh-2.5.0-r11.apk
2025-04-13 23:31
3.0M
msh-openrc-2.5.0-r11.apk
2025-04-13 23:31
2.0K
mspdebug-0.25-r1.apk
2024-10-25 21:02
202K
mspdebug-doc-0.25-r1.apk
2024-10-25 21:02
14K
msr-tools-1.3-r1.apk
2024-10-25 21:02
9.9K
mstflint-4.26.0.1-r0.apk
2024-10-25 21:02
4.1M
mstflint-doc-4.26.0.1-r0.apk
2024-10-25 21:02
18K
mtg-2.1.7-r20.apk
2025-04-13 23:31
4.7M
mtg-openrc-2.1.7-r20.apk
2025-04-13 23:31
1.9K
mtree-portable-0_git20220519-r0.apk
2024-10-25 21:02
24K
mtree-portable-doc-0_git20220519-r0.apk
2024-10-25 21:02
12K
muse-4.2.1-r1.apk
2024-10-25 21:02
6.1M
muse-doc-4.2.1-r1.apk
2024-10-25 21:02
4.1M
musikcube-3.0.4-r1.apk
2025-01-26 21:44
2.3M
musikcube-dev-3.0.4-r1.apk
2025-01-26 21:44
19K
musikcube-plugin-all-3.0.4-r1.apk
2025-01-26 21:44
1.3K
musikcube-plugin-httpdatastream-3.0.4-r1.apk
2025-01-26 21:44
79K
musikcube-plugin-mpris-3.0.4-r1.apk
2025-01-26 21:44
21K
musikcube-plugin-openmpt-3.0.4-r1.apk
2025-01-26 21:44
31K
musikcube-plugin-server-3.0.4-r1.apk
2025-01-26 21:44
369K
musikcube-plugin-stockencoders-3.0.4-r1.apk
2025-01-26 21:44
20K
musikcube-plugin-supereqdsp-3.0.4-r1.apk
2025-01-26 21:44
27K
musikcube-plugin-taglibreader-3.0.4-r1.apk
2025-01-26 21:44
36K
mxclient-0_git20211002-r1.apk
2024-10-25 21:02
76K
mypaint-2.0.1-r1.apk
2024-10-25 21:02
3.9M
mypaint-lang-2.0.1-r1.apk
2024-10-25 21:02
1.2M
mypaint-pyc-2.0.1-r1.apk
2024-10-25 21:02
1.1M
n30f-2.0-r3.apk
2024-10-25 21:02
6.9K
naabu-2.3.4-r0.apk
2025-04-18 18:49
9.8M
naabu-doc-2.3.4-r0.apk
2025-04-18 18:49
2.3K
nano-hare-0_git20231021-r0.apk
2024-10-25 21:02
2.2K
nauty-2.8.9-r0.apk
2024-10-25 21:02
5.5M
nauty-dev-2.8.9-r0.apk
2024-10-25 21:02
3.4M
nauty-libs-2.8.9-r0.apk
2024-10-25 21:02
2.6M
nb-7.17.0-r0.apk
2025-04-20 07:14
151K
nb-bash-completion-7.17.0-r0.apk
2025-04-20 07:14
3.0K
nb-doc-7.17.0-r0.apk
2025-04-20 07:14
76K
nb-fish-completion-7.17.0-r0.apk
2025-04-20 07:14
2.8K
nb-full-7.17.0-r0.apk
2025-04-20 07:14
1.3K
nb-zsh-completion-7.17.0-r0.apk
2025-04-20 07:14
3.0K
nbsdgames-5-r0.apk
2024-10-25 21:02
101K
nbsdgames-doc-5-r0.apk
2024-10-25 21:02
9.4K
ncdu2-2.8-r0.apk
2025-03-13 05:31
284K
ncdu2-doc-2.8-r0.apk
2025-03-13 05:31
9.4K
ndpi-4.10-r0.apk
2024-10-25 21:02
1.3M
ndpi-dev-4.10-r0.apk
2024-10-25 21:02
879K
neard-0.19-r0.apk
2024-10-25 21:02
133K
neard-dev-0.19-r0.apk
2024-10-25 21:02
11K
neard-doc-0.19-r0.apk
2024-10-25 21:02
5.6K
neard-openrc-0.19-r0.apk
2024-10-25 21:02
1.7K
neko-2.3.0-r0.apk
2024-11-21 03:45
436K
neko-dev-2.3.0-r0.apk
2024-11-21 03:45
10K
neko-doc-2.3.0-r0.apk
2024-11-21 03:45
20K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:02
23K
neo4j-client-2.2.0-r3.apk
2024-10-25 21:02
29K
neo4j-client-doc-2.2.0-r3.apk
2024-10-25 21:02
5.4K
neocmakelsp-0.8.19-r0.apk
2025-02-27 19:30
1.7M
neocmakelsp-bash-completion-0.8.19-r0.apk
2025-02-27 19:30
2.3K
neocmakelsp-doc-0.8.19-r0.apk
2025-02-27 19:30
5.5K
neocmakelsp-fish-completion-0.8.19-r0.apk
2025-02-27 19:30
2.2K
neocmakelsp-zsh-completion-0.8.19-r0.apk
2025-02-27 19:30
2.5K
neofetch-7.1.0-r2.apk
2024-11-07 12:08
86K
neofetch-doc-7.1.0-r2.apk
2024-11-07 12:08
6.2K
netdiscover-0.11-r0.apk
2025-03-26 22:47
452K
netdiscover-doc-0.11-r0.apk
2025-03-26 22:47
4.1K
netscanner-0.5.1-r1.apk
2024-10-25 21:02
3.5M
netscanner-doc-0.5.1-r1.apk
2024-10-25 21:02
3.3K
netsed-1.3-r3.apk
2024-10-25 21:02
10K
netstandard21-targeting-pack-6.0.136-r1.apk
2024-11-25 05:50
1.9M
networkmanager-openconnect-1.2.10-r1.apk
2025-04-08 00:13
63K
networkmanager-openconnect-lang-1.2.10-r1.apk
2025-04-08 00:13
1.0M
newlib-esp-0_git20240109-r0.apk
2024-10-25 21:02
1.5K
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk
2024-10-25 21:02
4.3M
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk
2024-10-25 21:02
4.3M
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk
2024-10-25 21:02
4.3M
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk
2024-10-25 21:02
4.5M
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk
2024-10-25 21:02
1.1M
newsyslog-1.2.0.91-r1.apk
2024-10-25 21:02
18K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 21:02
24K
nextpnr-0.7-r0.apk
2024-10-25 21:02
1.4K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 21:02
25M
nextpnr-generic-0.7-r0.apk
2024-10-25 21:02
744K
nextpnr-gowin-0.7-r0.apk
2024-10-25 21:02
1.5M
nextpnr-ice40-0.7-r0.apk
2024-10-25 21:02
69M
nfcd-1.2.1-r0.apk
2025-04-14 00:18
295K
nfcd-dev-1.2.1-r0.apk
2025-04-14 00:18
23K
nfoview-2.1-r0.apk
2025-04-13 23:31
39K
nfoview-doc-2.1-r0.apk
2025-04-13 23:31
8.0K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 21:02
713K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 21:02
21K
ngs-0.2.14-r0.apk
2024-10-25 21:02
293K
ngs-aws-0.2.14-r0.apk
2024-10-25 21:02
33K
ngs-vim-0.2.14-r0.apk
2024-10-25 21:02
4.9K
nicotine-plus-3.3.7-r0.apk
2024-12-16 15:21
1.5M
nicotine-plus-doc-3.3.7-r0.apk
2024-12-16 15:21
2.5K
nicotine-plus-lang-3.3.7-r0.apk
2024-12-16 15:21
661K
nicotine-plus-pyc-3.3.7-r0.apk
2024-12-16 15:21
781K
nil-2024.08.06-r0.apk
2025-04-03 02:11
1.7M
niri-25.02-r2.apk
2025-04-13 23:31
4.5M
niri-portalsconf-25.02-r2.apk
2025-04-13 23:31
1.7K
nitro-2.7_beta8-r2.apk
2024-10-25 21:02
503K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 21:02
190K
nitrocli-0.4.1-r3.apk
2024-10-25 21:02
431K
nitrocli-bash-completion-0.4.1-r3.apk
2024-10-25 21:02
3.2K
nitrocli-doc-0.4.1-r3.apk
2024-10-25 21:02
8.8K
nixpacks-0.1.7-r1.apk
2024-10-25 21:02
901K
nkk-0_git20221010-r0.apk
2024-10-25 21:02
15K
nkk-dev-0_git20221010-r0.apk
2024-10-25 21:02
2.9K
nkk-doc-0_git20221010-r0.apk
2024-10-25 21:02
7.0K
nlopt-2.10.0-r0.apk
2025-02-06 05:45
186K
nlopt-dev-2.10.0-r0.apk
2025-02-06 05:45
12K
nlopt-doc-2.10.0-r0.apk
2025-02-06 05:45
23K
nlopt-guile-2.10.0-r0.apk
2025-02-06 05:45
41K
nlopt-octave-2.10.0-r0.apk
2025-02-06 05:45
27K
nm-tray-0.5.0-r0.apk
2024-10-25 21:02
99K
nm-tray-lang-0.5.0-r0.apk
2024-10-25 21:02
27K
nmap-parse-output-1.5.1-r0.apk
2024-10-25 21:02
21K
nmap-parse-output-bash-completion-1.5.1-r0.apk
2024-10-25 21:02
1.7K
nmap-parse-output-doc-1.5.1-r0.apk
2024-10-25 21:02
807K
nmon-16q-r0.apk
2024-10-25 21:02
79K
noblenote-1.2.1-r1.apk
2024-10-25 21:02
400K
node-libpg-query-13.1.2-r5.apk
2024-10-25 21:02
18K
noggin-0.1-r16.apk
2025-04-13 23:31
1.4M
noggin-doc-0.1-r16.apk
2025-04-13 23:31
2.9K
noggin-model-0.1-r0.apk
2024-10-25 21:02
12M
noggin-model-lightweight-0.1-r0.apk
2024-10-25 21:02
1.7M
noice-0.8-r1.apk
2024-10-25 21:02
9.6K
noice-doc-0.8-r1.apk
2024-10-25 21:02
3.4K
nom-2.8.0-r2.apk
2025-04-13 23:31
7.1M
nom-doc-2.8.0-r2.apk
2025-04-13 23:31
4.0K
normaliz-3.10.4-r0.apk
2024-10-30 13:54
42K
normaliz-dev-3.10.4-r0.apk
2024-10-30 13:54
73K
normaliz-libs-3.10.4-r0.apk
2024-10-30 13:54
2.6M
notification-daemon-3.20.0-r0.apk
2024-10-25 21:02
60K
nsh-0.4.2-r1.apk
2024-10-25 21:02
613K
nsh-dbg-0.4.2-r1.apk
2024-10-25 21:02
3.4M
nsnake-3.0.0-r0.apk
2024-10-25 21:02
9.6K
nsnake-doc-3.0.0-r0.apk
2024-10-25 21:02
2.6K
nsq-1.3.0-r9.apk
2025-04-13 23:31
26M
ntpd-rs-1.4.0-r0.apk
2024-12-14 22:23
2.7M
ntpd-rs-doc-1.4.0-r0.apk
2024-12-14 22:23
23K
ntpd-rs-openrc-1.4.0-r0.apk
2024-12-14 22:23
1.8K
nuklear-4.12.0-r0.apk
2024-10-25 21:02
220K
nuklear-doc-4.12.0-r0.apk
2024-10-25 21:02
42K
nullmailer-2.2-r4.apk
2024-10-25 21:02
122K
nullmailer-doc-2.2-r4.apk
2024-10-25 21:02
10K
nullmailer-openrc-2.2-r4.apk
2024-10-25 21:02
1.6K
numbat-1.9.0-r0.apk
2024-10-25 21:02
1.0M
numbat-doc-1.9.0-r0.apk
2024-10-25 21:02
25K
nuzzle-1.6-r0.apk
2025-01-17 16:06
12K
nuzzle-doc-1.6-r0.apk
2025-01-17 16:06
3.2K
nvidia-src-535.86.05-r1.apk
2025-01-09 12:46
12M
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 21:02
55K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 21:02
7.8K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 21:02
4.2K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 21:02
3.3K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 21:02
1.8K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 21:02
10K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 21:02
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 21:02
2.6K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 21:02
3.5K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 21:02
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 21:02
3.8K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 21:02
2.0K
nvim-gruvbox-0.0.0_git20221212-r1.apk
2024-10-25 21:02
10K
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk
2024-10-25 21:02
2.8K
nvim-lualine-0.0.0_git20241101-r0.apk
2024-11-14 00:12
60K
nvim-lualine-doc-0.0.0_git20241101-r0.apk
2024-11-14 00:12
31K
nvim-packer-0.0.0_git20220910-r1.apk
2024-10-25 21:02
46K
nvim-packer-doc-0.0.0_git20220910-r1.apk
2024-10-25 21:02
21K
nvim-plenary-0.1.4_git20240917-r0.apk
2024-11-11 05:07
102K
nvim-plenary-doc-0.1.4_git20240917-r0.apk
2024-11-11 05:07
10K
nvim-treesitter-0.9.3-r0.apk
2024-11-04 04:04
388K
nvim-treesitter-doc-0.9.3-r0.apk
2024-11-04 04:04
30K
nvim-web-devicons-0.100_git20241011-r0.apk
2024-11-11 04:58
27K
nvim-web-devicons-doc-0.100_git20241011-r0.apk
2024-11-11 04:58
7.1K
nvimpager-0.12.0-r0.apk
2024-10-25 21:02
13K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 21:02
4.4K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 21:02
1.8K
nvtop-3.1.0-r0.apk
2024-10-25 21:02
55K
nvtop-doc-3.1.0-r0.apk
2024-10-25 21:02
3.5K
nwg-bar-0.1.6-r9.apk
2025-04-13 23:31
1.6M
nwg-displays-0.3.13-r1.apk
2024-10-25 21:02
24K
nwg-displays-pyc-0.3.13-r1.apk
2024-10-25 21:02
35K
nwg-dock-0.3.9-r10.apk
2025-04-13 23:31
1.8M
nwg-panel-0.10.1-r0.apk
2025-04-14 11:34
279K
nwg-panel-doc-0.10.1-r0.apk
2025-04-14 11:34
4.3K
nwg-panel-pyc-0.10.1-r0.apk
2025-04-14 11:34
263K
nymphcast-mediaserver-0.1-r3.apk
2024-10-25 21:02
127K
nymphcast-mediaserver-nftables-0.1-r3.apk
2024-10-25 21:02
1.7K
nzbget-24.8-r0.apk
2025-03-21 17:21
5.1M
nzbget-openrc-24.8-r0.apk
2025-03-21 17:21
2.0K
oauth2-proxy-7.8.1-r1.apk
2025-04-13 23:31
9.1M
oauth2-proxy-openrc-7.8.1-r1.apk
2025-04-13 23:31
2.1K
objconv-2.52_git20210213-r2.apk
2024-10-25 21:02
251K
oblibs-0.3.2.1-r0.apk
2025-01-25 17:22
28K
oblibs-dev-0.3.2.1-r0.apk
2025-01-25 17:22
57K
ocaml-alcotest-1.5.0-r4.apk
2024-10-25 21:02
464K
ocaml-alcotest-dev-1.5.0-r4.apk
2024-10-25 21:02
831K
ocaml-amqp-client-2.3.0-r0.apk
2024-10-25 21:02
605K
ocaml-amqp-client-dev-2.3.0-r0.apk
2024-10-25 21:02
1.0M
ocaml-angstrom-0.16.0-r0.apk
2024-10-25 21:02
179K
ocaml-angstrom-dev-0.16.0-r0.apk
2024-10-25 21:02
344K
ocaml-arp-3.0.0-r3.apk
2024-10-25 21:02
85K
ocaml-arp-dev-3.0.0-r3.apk
2024-10-25 21:02
160K
ocaml-asn1-combinators-0.2.6-r2.apk
2024-10-25 21:02
316K
ocaml-asn1-combinators-dev-0.2.6-r2.apk
2024-10-25 21:02
577K
ocaml-astring-0.8.5-r2.apk
2024-10-25 21:02
287K
ocaml-astring-dev-0.8.5-r2.apk
2024-10-25 21:02
185K
ocaml-atd-2.15.0-r0.apk
2024-10-25 21:02
6.8M
ocaml-atd-dev-2.15.0-r0.apk
2024-10-25 21:02
1.9M
ocaml-base-0.16.3-r0.apk
2024-10-25 21:02
4.6M
ocaml-base-dev-0.16.3-r0.apk
2024-10-25 21:02
9.7M
ocaml-base64-3.5.0-r2.apk
2024-10-25 21:02
91K
ocaml-base64-dev-3.5.0-r2.apk
2024-10-25 21:02
177K
ocaml-bigarray-compat-1.1.0-r2.apk
2024-10-25 21:02
12K
ocaml-bigarray-compat-dev-1.1.0-r2.apk
2024-10-25 21:02
12K
ocaml-bigstringaf-0.9.0-r2.apk
2024-10-25 21:02
47K
ocaml-bigstringaf-dev-0.9.0-r2.apk
2024-10-25 21:02
92K
ocaml-biniou-1.2.1-r5.apk
2024-10-25 21:02
570K
ocaml-biniou-dev-1.2.1-r5.apk
2024-10-25 21:02
368K
ocaml-bisect_ppx-2.8.3-r0.apk
2024-10-25 21:02
4.6M
ocaml-bisect_ppx-dev-2.8.3-r0.apk
2024-10-25 21:02
552K
ocaml-bitstring-4.1.0-r3.apk
2024-10-25 21:02
4.0M
ocaml-bitstring-dev-4.1.0-r3.apk
2024-10-25 21:02
573K
ocaml-bos-0.2.1-r2.apk
2024-10-25 21:02
463K
ocaml-bos-dev-0.2.1-r2.apk
2024-10-25 21:02
355K
ocaml-ca-certs-0.2.2-r2.apk
2024-10-25 21:02
29K
ocaml-ca-certs-dev-0.2.2-r2.apk
2024-10-25 21:02
41K
ocaml-ca-certs-nss-3.89.1-r1.apk
2024-10-25 21:02
395K
ocaml-ca-certs-nss-dev-3.89.1-r1.apk
2024-10-25 21:02
448K
ocaml-ca-certs-nss-tools-3.89.1-r1.apk
2024-10-25 21:02
1.5M
ocaml-cairo2-0.6.2-r2.apk
2024-10-25 21:02
164K
ocaml-cairo2-dev-0.6.2-r2.apk
2024-10-25 21:02
441K
ocaml-calendar-2.04-r4.apk
2024-10-25 21:02
217K
ocaml-calendar-dev-2.04-r4.apk
2024-10-25 21:02
147K
ocaml-calendar-doc-2.04-r4.apk
2024-10-25 21:02
12K
ocaml-camlpdf-2.8-r0.apk
2025-03-23 08:18
6.0M
ocaml-camlzip-1.11-r2.apk
2024-10-25 21:02
114K
ocaml-camlzip-dev-1.11-r2.apk
2024-10-25 21:02
217K
ocaml-camomile-1.0.2-r3.apk
2024-10-25 21:02
1.3M
ocaml-camomile-data-1.0.2-r3.apk
2024-10-25 21:02
5.1M
ocaml-camomile-dev-1.0.2-r3.apk
2024-10-25 21:02
2.5M
ocaml-charinfo_width-1.1.0-r3.apk
2024-10-25 21:02
104K
ocaml-charinfo_width-dev-1.1.0-r3.apk
2024-10-25 21:02
190K
ocaml-cmdliner-1.1.1-r3.apk
2024-10-25 21:02
469K
ocaml-cmdliner-dev-1.1.1-r3.apk
2024-10-25 21:02
245K
ocaml-cmdliner-doc-1.1.1-r3.apk
2024-10-25 21:02
20K
ocaml-cohttp-5.3.1-r0.apk
2024-10-25 21:02
670K
ocaml-cohttp-dev-5.3.1-r0.apk
2024-10-25 21:02
1.3M
ocaml-cohttp-tools-5.3.1-r0.apk
2024-10-25 21:02
7.6M
ocaml-compiler-libs-repackaged-0.12.4-r3.apk
2024-10-25 21:02
77K
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk
2024-10-25 21:02
105K
ocaml-conduit-6.1.0-r0.apk
2024-10-25 21:02
289K
ocaml-conduit-dev-6.1.0-r0.apk
2024-10-25 21:02
546K
ocaml-containers-3.7-r2.apk
2024-10-25 21:02
3.5M
ocaml-containers-dev-3.7-r2.apk
2024-10-25 21:02
6.7M
ocaml-containers-top-3.7-r2.apk
2024-10-25 21:02
23K
ocaml-cpdf-2.8-r0.apk
2025-03-23 08:18
4.7M
ocaml-cstruct-6.1.0-r3.apk
2024-10-25 21:02
4.1M
ocaml-cstruct-dev-6.1.0-r3.apk
2024-10-25 21:02
705K
ocaml-ctypes-0.20.1-r2.apk
2024-10-25 21:02
878K
ocaml-ctypes-dev-0.20.1-r2.apk
2024-10-25 21:02
872K
ocaml-curses-1.0.10-r2.apk
2024-10-25 21:02
138K
ocaml-curses-dev-1.0.10-r2.apk
2024-10-25 21:02
310K
ocaml-dns-6.2.2-r3.apk
2024-10-25 21:02
2.2M
ocaml-dns-dev-6.2.2-r3.apk
2024-10-25 21:02
4.1M
ocaml-dns-tools-6.2.2-r3.apk
2024-10-25 21:02
11M
ocaml-domain-name-0.4.0-r2.apk
2024-10-25 21:02
74K
ocaml-domain-name-dev-0.4.0-r2.apk
2024-10-25 21:02
141K
ocaml-down-0.1.0-r3.apk
2024-10-25 21:02
605K
ocaml-down-dev-0.1.0-r3.apk
2024-10-25 21:02
276K
ocaml-duration-0.2.0-r2.apk
2024-10-25 21:02
26K
ocaml-duration-dev-0.2.0-r2.apk
2024-10-25 21:02
44K
ocaml-easy-format-1.3.4-r1.apk
2024-10-25 21:02
61K
ocaml-easy-format-dev-1.3.4-r1.apk
2024-10-25 21:02
113K
ocaml-eqaf-0.8-r2.apk
2024-10-25 21:02
69K
ocaml-eqaf-dev-0.8-r2.apk
2024-10-25 21:02
122K
ocaml-erm_xml-0_git20211229-r2.apk
2024-10-25 21:02
582K
ocaml-erm_xml-dev-0_git20211229-r2.apk
2024-10-25 21:02
791K
ocaml-erm_xmpp-0_git20220404-r2.apk
2024-10-25 21:02
1.3M
ocaml-erm_xmpp-dev-0_git20220404-r2.apk
2024-10-25 21:02
1.6M
ocaml-ethernet-3.0.0-r3.apk
2024-10-25 21:02
44K
ocaml-ethernet-dev-3.0.0-r3.apk
2024-10-25 21:02
84K
ocaml-extlib-1.7.9-r2.apk
2024-10-25 21:02
638K
ocaml-extlib-dev-1.7.9-r2.apk
2024-10-25 21:02
1.3M
ocaml-extlib-doc-1.7.9-r2.apk
2024-10-25 21:02
11K
ocaml-ezxmlm-1.1.0-r0.apk
2024-10-25 21:02
32K
ocaml-ezxmlm-dev-1.1.0-r0.apk
2024-10-25 21:02
53K
ocaml-fileutils-0.6.4-r2.apk
2024-10-25 21:02
313K
ocaml-fileutils-dev-0.6.4-r2.apk
2024-10-25 21:02
606K
ocaml-fileutils-doc-0.6.4-r2.apk
2024-10-25 21:02
16K
ocaml-fix-20220121-r2.apk
2024-10-25 21:02
167K
ocaml-fix-dev-20220121-r2.apk
2024-10-25 21:02
434K
ocaml-fmt-0.9.0-r2.apk
2024-10-25 21:02
190K
ocaml-fmt-dev-0.9.0-r2.apk
2024-10-25 21:02
124K
ocaml-fpath-0.7.3-r2.apk
2024-10-25 21:02
138K
ocaml-fpath-dev-0.7.3-r2.apk
2024-10-25 21:02
101K
ocaml-gen-1.1-r1.apk
2024-10-25 21:02
323K
ocaml-gen-dev-1.1-r1.apk
2024-10-25 21:02
612K
ocaml-gettext-0.4.2-r3.apk
2024-10-25 21:02
3.4M
ocaml-gettext-dev-0.4.2-r3.apk
2024-10-25 21:02
735K
ocaml-gettext-doc-0.4.2-r3.apk
2024-10-25 21:02
19K
ocaml-gitlab-0.1.8-r0.apk
2024-10-25 21:02
2.9M
ocaml-gitlab-dev-0.1.8-r0.apk
2024-10-25 21:02
11M
ocaml-gmap-0.3.0-r2.apk
2024-10-25 21:02
37K
ocaml-gmap-dev-0.3.0-r2.apk
2024-10-25 21:02
75K
ocaml-happy-eyeballs-0.3.0-r2.apk
2024-10-25 21:02
84K
ocaml-happy-eyeballs-dev-0.3.0-r2.apk
2024-10-25 21:02
148K
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk
2024-10-25 21:02
43K
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk
2024-10-25 21:02
60K
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk
2024-10-25 21:02
2.4M
ocaml-hex-1.5.0-r2.apk
2024-10-25 21:02
30K
ocaml-hex-dev-1.5.0-r2.apk
2024-10-25 21:02
54K
ocaml-higlo-0.9-r0.apk
2024-10-25 21:02
4.9M
ocaml-higlo-dev-0.9-r0.apk
2024-10-25 21:02
679K
ocaml-hkdf-1.0.4-r2.apk
2024-10-25 21:02
14K
ocaml-hkdf-dev-1.0.4-r2.apk
2024-10-25 21:02
19K
ocaml-integers-0.7.0-r2.apk
2024-10-25 21:02
127K
ocaml-integers-dev-0.7.0-r2.apk
2024-10-25 21:02
273K
ocaml-ipaddr-5.3.1-r2.apk
2024-10-25 21:02
314K
ocaml-ipaddr-dev-5.3.1-r2.apk
2024-10-25 21:02
561K
ocaml-iri-1.0.0-r0.apk
2024-10-25 21:02
1.8M
ocaml-iri-dev-1.0.0-r0.apk
2024-10-25 21:02
774K
ocaml-iso8601-0.2.6-r0.apk
2024-10-25 21:02
53K
ocaml-iso8601-dev-0.2.6-r0.apk
2024-10-25 21:02
88K
ocaml-jsonm-1.0.2-r0.apk
2024-10-25 21:02
119K
ocaml-jsonm-dev-1.0.2-r0.apk
2024-10-25 21:02
68K
ocaml-jsonm-tools-1.0.2-r0.apk
2024-10-25 21:02
447K
ocaml-lablgtk3-3.1.2-r3.apk
2024-10-25 21:02
7.9M
ocaml-lablgtk3-dev-3.1.2-r3.apk
2024-10-25 21:02
14M
ocaml-lablgtk3-extras-3.0.1-r2.apk
2024-10-25 21:02
887K
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk
2024-10-25 21:02
1.5M
ocaml-labltk-8.06.12-r2.apk
2024-10-25 21:02
2.9M
ocaml-labltk-dev-8.06.12-r2.apk
2024-10-25 21:02
1.4M
ocaml-lambda-term-3.2.0-r4.apk
2024-10-25 21:02
3.4M
ocaml-lambda-term-dev-3.2.0-r4.apk
2024-10-25 21:02
3.8M
ocaml-lambda-term-doc-3.2.0-r4.apk
2024-10-25 21:02
8.8K
ocaml-lambdasoup-0.7.3-r2.apk
2024-10-25 21:02
190K
ocaml-lambdasoup-dev-0.7.3-r2.apk
2024-10-25 21:02
347K
ocaml-libvirt-0.6.1.7-r0.apk
2024-10-25 21:02
175K
ocaml-libvirt-dev-0.6.1.7-r0.apk
2024-10-25 21:02
94K
ocaml-libvirt-doc-0.6.1.7-r0.apk
2024-10-25 21:02
14K
ocaml-logs-0.7.0-r3.apk
2024-10-25 21:02
118K
ocaml-logs-dev-0.7.0-r3.apk
2024-10-25 21:02
89K
ocaml-lru-0.3.0-r2.apk
2024-10-25 21:02
77K
ocaml-lru-dev-0.3.0-r2.apk
2024-10-25 21:02
164K
ocaml-lwd-0.3-r0.apk
2024-10-25 21:02
492K
ocaml-lwd-dev-0.3-r0.apk
2024-10-25 21:02
1.0M
ocaml-lwt-5.7.0-r0.apk
2024-10-25 21:02
1.2M
ocaml-lwt-dev-5.7.0-r0.apk
2024-10-25 21:02
3.2M
ocaml-lwt-dllist-1.0.1-r3.apk
2024-10-25 21:02
23K
ocaml-lwt-dllist-dev-1.0.1-r3.apk
2024-10-25 21:02
41K
ocaml-lwt_log-1.1.1-r5.apk
2024-10-25 21:02
134K
ocaml-lwt_log-dev-1.1.1-r5.apk
2024-10-25 21:02
258K
ocaml-lwt_ppx-5.7.0-r0.apk
2024-10-25 21:02
3.8M
ocaml-lwt_react-5.7.0-r0.apk
2024-10-25 21:02
121K
ocaml-lwt_ssl-1.2.0-r0.apk
2024-10-25 21:02
30K
ocaml-lwt_ssl-dev-1.2.0-r0.apk
2024-10-25 21:02
48K
ocaml-magic-mime-1.3.1-r0.apk
2024-10-25 21:02
203K
ocaml-magic-mime-dev-1.3.1-r0.apk
2024-10-25 21:02
307K
ocaml-markup-1.0.3-r3.apk
2024-10-25 21:02
1.0M
ocaml-markup-dev-1.0.3-r3.apk
2024-10-25 21:02
2.1M
ocaml-menhir-20220210-r3.apk
2024-12-08 17:20
1.3M
ocaml-menhir-dev-20220210-r3.apk
2024-12-08 17:20
899K
ocaml-menhir-doc-20220210-r3.apk
2024-12-08 17:20
610K
ocaml-merlin-extend-0.6.1-r2.apk
2024-10-25 21:02
50K
ocaml-merlin-extend-dev-0.6.1-r2.apk
2024-10-25 21:02
92K
ocaml-metrics-0.4.0-r3.apk
2024-10-25 21:02
247K
ocaml-metrics-dev-0.4.0-r3.apk
2024-10-25 21:02
452K
ocaml-mew-0.1.0-r3.apk
2024-10-25 21:02
70K
ocaml-mew-dev-0.1.0-r3.apk
2024-10-25 21:02
98K
ocaml-mew_vi-0.5.0-r3.apk
2024-10-25 21:02
184K
ocaml-mew_vi-dev-0.5.0-r3.apk
2024-10-25 21:02
296K
ocaml-mikmatch-1.0.9-r2.apk
2024-10-25 21:02
145K
ocaml-mirage-clock-4.2.0-r2.apk
2024-10-25 21:02
29K
ocaml-mirage-clock-dev-4.2.0-r2.apk
2024-10-25 21:02
36K
ocaml-mirage-crypto-0.10.6-r3.apk
2024-10-25 21:02
1.1M
ocaml-mirage-crypto-dev-0.10.6-r3.apk
2024-10-25 21:02
3.2M
ocaml-mirage-flow-3.0.0-r3.apk
2024-10-25 21:02
111K
ocaml-mirage-flow-dev-3.0.0-r3.apk
2024-10-25 21:02
195K
ocaml-mirage-kv-4.0.1-r3.apk
2024-10-25 21:02
21K
ocaml-mirage-kv-dev-4.0.1-r3.apk
2024-10-25 21:02
44K
ocaml-mirage-net-4.0.0-r3.apk
2024-10-25 21:02
12K
ocaml-mirage-net-dev-4.0.0-r3.apk
2024-10-25 21:02
20K
ocaml-mirage-profile-0.9.1-r3.apk
2024-10-25 21:02
25K
ocaml-mirage-profile-dev-0.9.1-r3.apk
2024-10-25 21:02
32K
ocaml-mirage-random-3.0.0-r3.apk
2024-10-25 21:02
7.0K
ocaml-mirage-random-dev-3.0.0-r3.apk
2024-10-25 21:02
5.4K
ocaml-mirage-time-3.0.0-r4.apk
2024-10-25 21:02
12K
ocaml-mirage-time-dev-3.0.0-r4.apk
2024-10-25 21:02
8.5K
ocaml-mmap-1.2.0-r3.apk
2024-10-25 21:02
7.3K
ocaml-mmap-dev-1.2.0-r3.apk
2024-10-25 21:02
6.7K
ocaml-mqtt-0.2.2-r0.apk
2024-10-25 21:02
157K
ocaml-mqtt-dev-0.2.2-r0.apk
2024-10-25 21:02
258K
ocaml-mtime-1.4.0-r2.apk
2024-10-25 21:02
48K
ocaml-mtime-dev-1.4.0-r2.apk
2024-10-25 21:02
49K
ocaml-notty-0.2.3-r0.apk
2024-10-25 21:02
314K
ocaml-notty-dev-0.2.3-r0.apk
2024-10-25 21:02
612K
ocaml-num-1.4-r3.apk
2024-10-25 21:02
255K
ocaml-num-dev-1.4-r3.apk
2024-10-25 21:02
94K
ocaml-obuild-0.1.11-r0.apk
2024-10-25 21:02
1.1M
ocaml-ocf-0.8.0-r3.apk
2024-10-25 21:02
7.8M
ocaml-ocf-dev-0.8.0-r3.apk
2024-10-25 21:02
320K
ocaml-ocp-indent-1.8.2-r2.apk
2024-10-25 21:02
542K
ocaml-ocp-indent-dev-1.8.2-r2.apk
2024-10-25 21:02
638K
ocaml-ocp-index-1.3.6-r0.apk
2024-10-25 21:02
466K
ocaml-ocp-index-dev-1.3.6-r0.apk
2024-10-25 21:02
529K
ocaml-ocplib-endian-1.2-r3.apk
2024-10-25 21:02
155K
ocaml-ocplib-endian-dev-1.2-r3.apk
2024-10-25 21:02
259K
ocaml-omake-0.10.6-r0.apk
2024-10-25 21:02
1.6M
ocaml-omake-doc-0.10.6-r0.apk
2024-10-25 21:02
8.0K
ocaml-omod-0.0.3-r3.apk
2024-10-25 21:02
333K
ocaml-omod-bin-0.0.3-r3.apk
2024-10-25 21:02
2.7M
ocaml-omod-dev-0.0.3-r3.apk
2024-10-25 21:02
213K
ocaml-otoml-1.0.5-r0.apk
2024-10-25 21:02
442K
ocaml-otoml-dev-1.0.5-r0.apk
2024-10-25 21:02
780K
ocaml-otr-0.3.10-r2.apk
2024-10-25 21:02
281K
ocaml-otr-dev-0.3.10-r2.apk
2024-10-25 21:02
525K
ocaml-ounit-2.2.7-r3.apk
2024-10-25 21:02
538K
ocaml-ounit-dev-2.2.7-r3.apk
2024-10-25 21:02
1.1M
ocaml-parsexp-0.16.0-r0.apk
2024-10-25 21:02
389K
ocaml-parsexp-dev-0.16.0-r0.apk
2024-10-25 21:02
940K
ocaml-pbkdf-1.2.0-r2.apk
2024-10-25 21:02
18K
ocaml-pbkdf-dev-1.2.0-r2.apk
2024-10-25 21:02
26K
ocaml-pcre-7.5.0-r4.apk
2024-10-25 21:02
150K
ocaml-pcre-dev-7.5.0-r4.apk
2024-10-25 21:02
305K
ocaml-ppx_blob-0.8.0-r0.apk
2024-10-25 21:02
3.7M
ocaml-ppx_blob-dev-0.8.0-r0.apk
2024-10-25 21:02
19K
ocaml-ppx_derivers-1.2.1-r2.apk
2024-10-25 21:02
9.8K
ocaml-ppx_derivers-dev-1.2.1-r2.apk
2024-10-25 21:02
11K
ocaml-ppx_deriving-5.3.0-r0.apk
2024-10-25 21:02
4.9M
ocaml-ppx_deriving-dev-5.3.0-r0.apk
2024-10-25 21:02
1.3M
ocaml-ppx_sexp_conv-0.16.0-r0.apk
2024-10-25 21:02
569K
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk
2024-10-25 21:02
1.1M
ocaml-ppxlib-0.32.0-r0.apk
2024-10-25 21:02
13M
ocaml-ppxlib-dev-0.32.0-r0.apk
2024-10-25 21:02
16M
ocaml-psq-0.2.0-r2.apk
2024-10-25 21:02
96K
ocaml-psq-dev-0.2.0-r2.apk
2024-10-25 21:02
173K
ocaml-ptime-1.0.0-r2.apk
2024-10-25 21:02
111K
ocaml-ptime-dev-1.0.0-r2.apk
2024-10-25 21:02
75K
ocaml-ptmap-2.0.5-r3.apk
2024-10-25 21:02
54K
ocaml-ptmap-dev-2.0.5-r3.apk
2024-10-25 21:02
95K
ocaml-qcheck-0.18.1-r3.apk
2024-10-25 21:02
670K
ocaml-qcheck-dev-0.18.1-r3.apk
2024-10-25 21:02
1.3M
ocaml-qtest-2.11.2-r3.apk
2024-10-25 21:02
331K
ocaml-qtest-dev-2.11.2-r3.apk
2024-10-25 21:02
3.6K
ocaml-randomconv-0.1.3-r2.apk
2024-10-25 21:02
14K
ocaml-randomconv-dev-0.1.3-r2.apk
2024-10-25 21:02
18K
ocaml-re-1.11.0-r1.apk
2024-10-25 21:02
526K
ocaml-re-dev-1.11.0-r1.apk
2024-10-25 21:02
1.0M
ocaml-react-1.2.2-r2.apk
2024-10-25 21:02
283K
ocaml-react-dev-1.2.2-r2.apk
2024-10-25 21:02
194K
ocaml-reason-3.8.2-r1.apk
2024-10-25 21:02
15M
ocaml-reason-dev-3.8.2-r1.apk
2024-10-25 21:02
29M
ocaml-result-1.5-r2.apk
2024-10-25 21:02
9.0K
ocaml-result-dev-1.5-r2.apk
2024-10-25 21:02
8.1K
ocaml-rresult-0.7.0-r2.apk
2024-10-25 21:02
39K
ocaml-rresult-dev-0.7.0-r2.apk
2024-10-25 21:02
36K
ocaml-sedlex-3.2-r0.apk
2024-10-25 21:02
4.1M
ocaml-sedlex-dev-3.2-r0.apk
2024-10-25 21:02
1.5M
ocaml-seq-0.3.1-r2.apk
2024-10-25 21:02
14K
ocaml-seq-dev-0.3.1-r2.apk
2024-10-25 21:02
20K
ocaml-sexplib-0.16.0-r0.apk
2024-10-25 21:02
486K
ocaml-sexplib-dev-0.16.0-r0.apk
2024-10-25 21:02
827K
ocaml-sexplib0-0.16.0-r0.apk
2024-10-25 21:02
172K
ocaml-sexplib0-dev-0.16.0-r0.apk
2024-10-25 21:02
338K
ocaml-sha-1.15.4-r0.apk
2024-10-25 21:02
62K
ocaml-sha-dev-1.15.4-r0.apk
2024-10-25 21:02
231K
ocaml-ssl-0.7.0-r0.apk
2024-10-25 21:02
95K
ocaml-ssl-dev-0.7.0-r0.apk
2024-10-25 21:02
209K
ocaml-stdlib-shims-0.3.0-r2.apk
2024-10-25 21:02
4.2K
ocaml-stk-0.1.0-r0.apk
2024-10-25 21:02
6.1M
ocaml-stk-dev-0.1.0-r0.apk
2024-10-25 21:02
9.9M
ocaml-stringext-1.6.0-r2.apk
2024-10-25 21:02
43K
ocaml-stringext-dev-1.6.0-r2.apk
2024-10-25 21:02
78K
ocaml-tcpip-7.1.2-r3.apk
2024-10-25 21:02
1.2M
ocaml-tcpip-dev-7.1.2-r3.apk
2024-10-25 21:02
2.4M
ocaml-tls-0.15.3-r4.apk
2024-10-25 21:02
1.2M
ocaml-tls-dev-0.15.3-r4.apk
2024-10-25 21:02
2.3M
ocaml-tophide-1.0.4-r2.apk
2024-10-25 21:02
5.7K
ocaml-topkg-1.0.5-r2.apk
2024-10-25 21:02
613K
ocaml-topkg-dev-1.0.5-r2.apk
2024-10-25 21:02
409K
ocaml-trie-1.0.0-r2.apk
2024-10-25 21:02
16K
ocaml-trie-dev-1.0.0-r2.apk
2024-10-25 21:02
26K
ocaml-tsdl-1.0.0-r0.apk
2024-10-25 21:02
909K
ocaml-tsdl-dev-1.0.0-r0.apk
2024-10-25 21:02
552K
ocaml-tsdl-image-0.6-r0.apk
2024-10-25 21:02
49K
ocaml-tsdl-image-dev-0.6-r0.apk
2024-10-25 21:02
77K
ocaml-tsdl-ttf-0.6-r0.apk
2024-10-25 21:02
63K
ocaml-tsdl-ttf-dev-0.6-r0.apk
2024-10-25 21:02
108K
ocaml-uri-4.2.0-r2.apk
2024-10-25 21:02
1.4M
ocaml-uri-dev-4.2.0-r2.apk
2024-10-25 21:02
4.3M
ocaml-utop-2.9.1-r4.apk
2024-10-25 21:02
349K
ocaml-utop-dev-2.9.1-r4.apk
2024-10-25 21:02
763K
ocaml-uucd-14.0.0-r2.apk
2024-10-25 21:02
269K
ocaml-uucd-dev-14.0.0-r2.apk
2024-10-25 21:02
160K
ocaml-uucp-14.0.0-r2.apk
2024-10-25 21:02
5.1M
ocaml-uucp-dev-14.0.0-r2.apk
2024-10-25 21:02
1.1M
ocaml-uuidm-0.9.8-r2.apk
2024-10-25 21:02
45K
ocaml-uuidm-dev-0.9.8-r2.apk
2024-10-25 21:02
25K
ocaml-uuidm-tools-0.9.8-r2.apk
2024-10-25 21:02
448K
ocaml-uunf-14.0.0-r2.apk
2024-10-25 21:02
1.1M
ocaml-uunf-dev-14.0.0-r2.apk
2024-10-25 21:02
194K
ocaml-uuseg-14.0.0-r2.apk
2024-10-25 21:02
93K
ocaml-uuseg-dev-14.0.0-r2.apk
2024-10-25 21:02
52K
ocaml-uuseg-tools-14.0.0-r2.apk
2024-10-25 21:02
1.3M
ocaml-uutf-1.0.3-r2.apk
2024-10-25 21:02
627K
ocaml-uutf-dev-1.0.3-r2.apk
2024-10-25 21:02
56K
ocaml-x509-0.16.0-r2.apk
2024-10-25 21:02
829K
ocaml-x509-dev-0.16.0-r2.apk
2024-10-25 21:02
1.5M
ocaml-xml-light-2.5-r0.apk
2024-10-25 21:02
217K
ocaml-xml-light-dev-2.5-r0.apk
2024-10-25 21:02
387K
ocaml-xmlm-1.4.0-r2.apk
2024-10-25 21:02
563K
ocaml-xmlm-dev-1.4.0-r2.apk
2024-10-25 21:02
99K
ocaml-xtmpl-0.19.0-r0.apk
2024-10-25 21:02
8.8M
ocaml-xtmpl-dev-0.19.0-r0.apk
2024-10-25 21:02
774K
ocaml-yojson-2.1.2-r0.apk
2024-10-25 21:02
1.0M
ocaml-yojson-dev-2.1.2-r0.apk
2024-10-25 21:02
1.7M
ocaml-zed-3.1.0-r3.apk
2024-10-25 21:02
518K
ocaml-zed-dev-3.1.0-r3.apk
2024-10-25 21:02
1.1M
ocaml5-ctypes-0.23.0-r0.apk
2025-04-13 23:31
3.0M
ocaml5-ctypes-doc-0.23.0-r0.apk
2025-04-13 23:31
11K
ocaml5-integers-0.7.0-r0.apk
2025-04-13 23:31
412K
ocaml5-integers-doc-0.7.0-r0.apk
2025-04-13 23:31
3.9K
ocaml5-llvm-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
664K
ocamlnet-4.1.9-r2.apk
2024-10-25 21:02
16M
ocamlnet-dev-4.1.9-r2.apk
2024-10-25 21:02
5.7M
ocamlnet-tcl-4.1.9-r2.apk
2024-10-25 21:02
51K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 21:02
1.1M
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 21:02
45K
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 21:02
69K
ocp-indent-1.8.2-r2.apk
2024-10-25 21:02
1.1M
ocp-indent-doc-1.8.2-r2.apk
2024-10-25 21:02
17K
ocp-indent-emacs-1.8.2-r2.apk
2024-10-25 21:02
3.7K
ocp-indent-vim-1.8.2-r2.apk
2024-10-25 21:02
2.4K
ocp-index-1.3.6-r0.apk
2024-10-25 21:02
5.5M
ocp-index-doc-1.3.6-r0.apk
2024-10-25 21:02
31K
ocp-index-emacs-1.3.6-r0.apk
2024-10-25 21:02
6.3K
ocp-index-vim-1.3.6-r0.apk
2024-10-25 21:02
3.1K
octoprint-1.10.3-r0.apk
2024-12-25 23:04
3.0M
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 21:02
4.7K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 21:02
3.3K
octoprint-filecheck-2024.3.27-r1.apk
2024-10-25 21:02
28K
octoprint-filecheck-pyc-2024.3.27-r1.apk
2024-10-25 21:02
11K
octoprint-firmwarecheck-2021.10.11-r2.apk
2024-10-25 21:02
29K
octoprint-firmwarecheck-pyc-2021.10.11-r2.apk
2024-10-25 21:02
18K
octoprint-openrc-1.10.3-r0.apk
2024-12-25 23:04
1.7K
octoprint-pisupport-2023.10.10-r1.apk
2024-10-25 21:02
31K
octoprint-pisupport-pyc-2023.10.10-r1.apk
2024-10-25 21:02
13K
octoprint-pyc-1.10.3-r0.apk
2024-12-25 23:04
1.2M
ode-0.16.6-r0.apk
2025-01-19 15:15
568K
odin-0.2025.04-r0.apk
2025-04-03 23:09
2.9M
odin-doc-0.2025.04-r0.apk
2025-04-03 23:09
4.8K
odin-vendor-0.2025.04-r0.apk
2025-04-03 23:09
3.5M
odio-sacd-24.8.1-r0.apk
2025-04-13 23:31
5.0K
odio-sacd-doc-24.8.1-r0.apk
2025-04-13 23:31
2.8K
odyssey-1.3-r3.apk
2024-10-25 21:02
125K
odyssey-openrc-1.3-r3.apk
2024-10-25 21:02
2.0K
oha-1.8.0-r0.apk
2025-04-08 00:13
3.0M
oha-doc-1.8.0-r0.apk
2025-04-08 00:13
2.2K
oil-0.21.0-r0.apk
2024-10-25 21:02
1.5M
oil-doc-0.21.0-r0.apk
2024-10-25 21:02
7.0K
oils-for-unix-0.28.0-r0.apk
2025-03-21 17:21
630K
oils-for-unix-bash-0.28.0-r0.apk
2025-03-21 17:21
1.5K
oils-for-unix-binsh-0.28.0-r0.apk
2025-03-21 17:21
1.5K
oils-for-unix-doc-0.28.0-r0.apk
2025-03-21 17:21
7.0K
ol-2.4-r0.apk
2024-10-25 21:02
1.0M
ol-doc-2.4-r0.apk
2024-10-25 21:02
2.6K
olab-0.1.8-r0.apk
2024-10-25 21:02
3.4M
olsrd-0.9.8-r3.apk
2024-10-25 21:02
166K
olsrd-doc-0.9.8-r3.apk
2024-10-25 21:02
25K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 21:02
1.9K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 21:02
173K
ombi-4.47.1-r0.apk
2025-04-03 02:11
20M
ombi-openrc-4.47.1-r0.apk
2025-04-03 02:11
1.9K
one-dnn-3.1-r0.apk
2024-10-25 21:02
16M
one-dnn-dev-3.1-r0.apk
2024-10-25 21:02
114K
one-dnn-doc-3.1-r0.apk
2024-10-25 21:02
16K
onevpl-intel-gpu-23.2.4-r1.apk
2024-10-25 21:02
2.1M
onevpl-intel-gpu-dev-23.2.4-r1.apk
2024-10-25 21:02
1.8K
onioncat-4.11.0-r1.apk
2024-10-25 21:02
57K
onioncat-doc-4.11.0-r1.apk
2024-10-25 21:02
19K
onnxruntime-1.21.0-r1.apk
2025-04-11 19:44
11M
onnxruntime-dev-1.21.0-r1.apk
2025-04-11 19:44
99K
oo7-0.4.3-r1.apk
2025-04-20 22:33
1.0M
oo7-doc-0.4.3-r1.apk
2025-04-20 22:33
2.3K
oo7-portal-0.4.3-r1.apk
2025-04-20 22:33
1.4M
oo7-portal-openrc-0.4.3-r1.apk
2025-04-20 22:33
1.7K
oo7-server-0.4.3-r1.apk
2025-04-20 22:33
1.0M
oo7-server-openrc-0.4.3-r1.apk
2025-04-20 22:33
1.7K
opa-1.2.0-r1.apk
2025-04-13 23:31
11M
opa-bash-completion-1.2.0-r1.apk
2025-04-13 23:31
6.1K
opa-doc-1.2.0-r1.apk
2025-04-13 23:31
23K
opa-fish-completion-1.2.0-r1.apk
2025-04-13 23:31
4.3K
opa-zsh-completion-1.2.0-r1.apk
2025-04-13 23:31
4.0K
opcr-policy-0.3.0-r3.apk
2025-04-13 23:31
9.2M
openapi-tui-0.9.4-r1.apk
2024-10-25 21:02
4.1M
openapi-validator-1.19.2-r0.apk
2024-10-25 21:02
9.9M
opendht-3.1.11-r0.apk
2025-01-29 17:00
182K
opendht-dev-3.1.11-r0.apk
2025-01-29 17:00
71K
opendht-doc-3.1.11-r0.apk
2025-01-29 17:00
3.0K
opendht-libs-3.1.11-r0.apk
2025-01-29 17:00
573K
openfire-4.8.1-r1.apk
2024-12-03 16:45
46M
openfire-doc-4.8.1-r1.apk
2024-12-03 16:45
3.8M
openfire-openrc-4.8.1-r1.apk
2024-12-03 16:45
1.9K
openfire-plugins-4.8.1-r1.apk
2024-12-03 16:45
72K
openfortivpn-1.22.1-r0.apk
2024-12-12 05:50
41K
openfortivpn-doc-1.22.1-r0.apk
2024-12-12 05:50
6.3K
openfpgaloader-0.11.0-r0.apk
2024-10-25 21:02
1.9M
openjdk21-mandrel-23.1.6.0-r0.apk
2025-02-22 15:39
23M
openjdk22-22.0.2_p9-r3.apk
2025-02-22 15:39
1.5K
openjdk22-demos-22.0.2_p9-r3.apk
2025-02-22 15:39
5.2M
openjdk22-doc-22.0.2_p9-r3.apk
2025-02-22 15:39
187K
openjdk22-jdk-22.0.2_p9-r3.apk
2025-02-22 15:39
6.6M
openjdk22-jmods-22.0.2_p9-r3.apk
2025-02-22 15:39
77M
openjdk22-jre-22.0.2_p9-r3.apk
2025-02-22 15:39
1.0M
openjdk22-jre-headless-22.0.2_p9-r3.apk
2025-02-22 15:39
62M
openjdk22-src-22.0.2_p9-r3.apk
2025-02-22 15:39
48M
openjdk22-static-libs-22.0.2_p9-r3.apk
2025-02-22 15:39
20M
openjdk23-23.0.2_p7-r1.apk
2025-02-22 15:39
1.5K
openjdk23-demos-23.0.2_p7-r1.apk
2025-02-22 15:39
5.2M
openjdk23-doc-23.0.2_p7-r1.apk
2025-02-22 15:39
188K
openjdk23-jdk-23.0.2_p7-r1.apk
2025-02-22 15:39
6.7M
openjdk23-jmods-23.0.2_p7-r1.apk
2025-02-22 15:39
81M
openjdk23-jre-23.0.2_p7-r1.apk
2025-02-22 15:39
1.0M
openjdk23-jre-headless-23.0.2_p7-r1.apk
2025-02-22 15:39
66M
openjdk23-src-23.0.2_p7-r1.apk
2025-02-22 15:39
48M
openjdk23-static-libs-23.0.2_p7-r1.apk
2025-02-22 15:39
20M
openjfx-21.0.3_p1-r0.apk
2024-10-25 21:02
8.9M
openjfx-demos-21.0.3_p1-r0.apk
2024-10-25 21:02
28M
openjfx-doc-21.0.3_p1-r0.apk
2024-10-25 21:02
97K
openocd-esp32-0_git20250226-r0.apk
2025-03-21 17:21
1.9M
openocd-esp32-dev-0_git20250226-r0.apk
2025-03-21 17:21
3.6K
openocd-esp32-doc-0_git20250226-r0.apk
2025-03-21 17:21
3.2K
openocd-esp32-udev-rules-0_git20250226-r0.apk
2025-03-21 17:21
3.4K
openocd-git-0_git20240113-r1.apk
2024-10-25 21:02
1.6M
openocd-git-cmd-openocd-0_git20240113-r1.apk
2024-10-25 21:02
1.5K
openocd-git-dbg-0_git20240113-r1.apk
2024-10-25 21:02
4.1M
openocd-git-dev-0_git20240113-r1.apk
2024-10-25 21:02
3.4K
openocd-git-doc-0_git20240113-r1.apk
2024-10-25 21:02
3.3K
openocd-git-udev-rules-0_git20240113-r1.apk
2024-10-25 21:02
3.4K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 21:02
1.5M
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 21:02
3.7K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 21:02
3.3K
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 21:02
3.3K
openra-20231010-r0.apk
2024-10-25 21:02
23M
openscap-daemon-0.1.10-r9.apk
2024-10-25 21:02
60K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 21:02
18K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 21:02
102K
openslide-3.4.1-r3.apk
2024-10-25 21:02
80K
openslide-dev-3.4.1-r3.apk
2024-10-25 21:02
6.9K
openslide-doc-3.4.1-r3.apk
2024-10-25 21:02
4.9K
openslide-tools-3.4.1-r3.apk
2024-10-25 21:02
9.1K
opensm-3.3.24-r2.apk
2024-10-25 21:02
467K
opensm-dev-3.3.24-r2.apk
2024-10-25 21:02
219K
opensm-doc-3.3.24-r2.apk
2024-10-25 21:02
38K
opensm-openrc-3.3.24-r2.apk
2024-10-25 21:02
2.8K
openspades-0.1.3-r5.apk
2024-10-25 21:02
11M
openspades-doc-0.1.3-r5.apk
2024-10-25 21:02
20K
openswitcher-0.5.0-r4.apk
2024-10-25 21:02
148K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 21:02
9.4K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 21:02
2.0K
opentelemetry-cpp-1.19.0-r2.apk
2025-04-11 19:44
565K
opentelemetry-cpp-dev-1.19.0-r2.apk
2025-04-11 19:44
494K
opentelemetry-cpp-exporter-otlp-common-1.19.0-r2.apk
2025-04-11 19:44
42K
opentelemetry-cpp-exporter-otlp-grpc-1.19.0-r2.apk
2025-04-11 19:44
51K
opentelemetry-cpp-exporter-otlp-http-1.19.0-r2.apk
2025-04-11 19:44
80K
opentelemetry-cpp-exporter-zipkin-1.19.0-r2.apk
2025-04-11 19:44
47K
openvpn3-3.8.5-r1.apk
2025-02-22 15:39
382K
openvpn3-dev-3.8.5-r1.apk
2025-02-22 15:39
667K
openwsman-2.8.1-r0.apk
2025-02-22 15:39
46K
openwsman-dev-2.8.1-r0.apk
2025-02-22 15:39
56K
openwsman-doc-2.8.1-r0.apk
2025-02-22 15:39
2.3K
openwsman-libs-2.8.1-r0.apk
2025-02-22 15:39
315K
opkg-0.7.0-r0.apk
2024-10-25 21:02
9.8K
opkg-dev-0.7.0-r0.apk
2024-10-25 21:02
109K
opkg-doc-0.7.0-r0.apk
2024-10-25 21:02
7.7K
opkg-libs-0.7.0-r0.apk
2024-10-25 21:02
77K
opkg-utils-0.7.0-r0.apk
2024-10-25 21:02
25K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 21:02
3.6K
opmsg-1.84-r1.apk
2024-10-25 21:02
272K
orage-4.20.1-r0.apk
2025-04-08 13:51
580K
orage-lang-4.20.1-r0.apk
2025-04-08 13:51
1.2M
os-installer-0.4.3-r0.apk
2025-03-21 17:21
83K
osmctools-0.9-r0.apk
2024-10-25 21:02
119K
ostree-push-1.2.0-r0.apk
2025-03-21 17:21
30K
ostree-push-pyc-1.2.0-r0.apk
2025-03-21 17:21
37K
ostui-1.0.3-r1.apk
2025-04-13 23:31
5.0M
ostui-doc-1.0.3-r1.apk
2025-04-13 23:31
28K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 21:02
102K
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 21:02
48K
otpclient-4.0.2-r0.apk
2024-10-25 21:02
117K
otpclient-doc-4.0.2-r0.apk
2024-10-25 21:02
3.6K
otrs-6.0.48-r2.apk
2024-10-25 21:02
29M
otrs-apache2-6.0.48-r2.apk
2024-10-25 21:02
4.0K
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 21:02
2.4K
otrs-dev-6.0.48-r2.apk
2024-10-25 21:02
3.9M
otrs-doc-6.0.48-r2.apk
2024-10-25 21:02
795K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 21:02
1.8K
otrs-nginx-6.0.48-r2.apk
2024-10-25 21:02
1.8K
otrs-openrc-6.0.48-r2.apk
2024-10-25 21:02
1.9K
otrs-setup-6.0.48-r2.apk
2024-10-25 21:02
107K
ouch-0.5.1-r0.apk
2024-10-25 21:02
1.1M
ouch-bash-completion-0.5.1-r0.apk
2024-10-25 21:02
2.3K
ouch-doc-0.5.1-r0.apk
2024-10-25 21:02
3.7K
ouch-fish-completion-0.5.1-r0.apk
2024-10-25 21:02
2.4K
ouch-zsh-completion-0.5.1-r0.apk
2024-10-25 21:02
2.9K
ovn-24.03.1-r0.apk
2024-10-25 21:02
6.9M
ovn-dbg-24.03.1-r0.apk
2024-10-25 21:02
26M
ovn-dev-24.03.1-r0.apk
2024-10-25 21:02
11M
ovn-doc-24.03.1-r0.apk
2024-10-25 21:02
512K
ovn-openrc-24.03.1-r0.apk
2024-10-25 21:02
4.2K
ovos-0.0.1-r1.apk
2024-10-25 21:02
1.5K
ovos-audio-0.3.1-r0.apk
2024-11-21 14:32
136K
ovos-audio-pyc-0.3.1-r0.apk
2024-11-21 14:32
36K
ovos-core-1.2.3-r0.apk
2025-04-08 11:13
50K
ovos-core-pyc-1.2.3-r0.apk
2025-04-08 11:13
63K
ovos-dinkum-listener-0.4.0-r0.apk
2025-04-08 11:13
109K
ovos-dinkum-listener-pyc-0.4.0-r0.apk
2025-04-08 11:13
57K
ovos-gui-1.1.0-r0.apk
2024-11-25 13:42
31K
ovos-gui-pyc-1.1.0-r0.apk
2024-11-25 13:42
38K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 11:13
9.9K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 11:13
6.7K
ovos-phal-0.2.7-r0.apk
2024-11-21 14:32
10K
ovos-phal-pyc-0.2.7-r0.apk
2024-11-21 14:32
7.2K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 21:02
46K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 21:02
4.0K
ovpncc-0.1_rc1-r0.apk
2024-10-25 21:02
12K
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 21:02
6.5K
oxygen-icons-6.1.0-r0.apk
2024-10-25 21:02
32M
p0f-3.09b-r3.apk
2024-10-25 21:02
75K
p0f-doc-3.09b-r3.apk
2024-10-25 21:02
25K
p910nd-0.97-r2.apk
2024-10-25 21:02
7.7K
p910nd-doc-0.97-r2.apk
2024-10-25 21:02
3.0K
p910nd-openrc-0.97-r2.apk
2024-10-25 21:02
1.8K
pacoloco-1.7-r0.apk
2025-04-19 21:02
5.0M
pacoloco-doc-1.7-r0.apk
2025-04-19 21:02
2.2K
pacoloco-openrc-1.7-r0.apk
2025-04-19 21:02
1.9K
pacparser-1.4.5-r1.apk
2024-10-25 21:02
748K
pacparser-dev-1.4.5-r1.apk
2024-10-25 21:02
3.6K
pacparser-doc-1.4.5-r1.apk
2024-10-25 21:02
18K
pam-krb5-4.11-r1.apk
2024-10-25 21:02
22K
pam-krb5-doc-4.11-r1.apk
2024-10-25 21:02
24K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 21:02
8.5K
pamtester-0.1.2-r4.apk
2024-10-25 21:02
9.2K
pamtester-doc-0.1.2-r4.apk
2024-10-25 21:02
2.9K
pantalaimon-0.10.5-r4.apk
2024-10-25 21:02
45K
pantalaimon-doc-0.10.5-r4.apk
2024-10-25 21:02
6.4K
pantalaimon-pyc-0.10.5-r4.apk
2024-10-25 21:02
83K
pantalaimon-ui-0.10.5-r4.apk
2024-10-25 21:02
1.7K
paperde-0.2.1-r2.apk
2024-10-25 21:02
635K
paperde-dev-0.2.1-r2.apk
2024-10-25 21:02
5.2K
paperkey-1.6-r2.apk
2024-10-25 21:02
16K
paperkey-doc-1.6-r2.apk
2024-10-25 21:02
4.5K
paprefs-1.2-r2.apk
2024-11-22 23:14
30K
paprefs-lang-1.2-r2.apk
2024-11-22 23:14
38K
par-1.53.0-r1.apk
2024-10-25 21:02
14K
par-doc-1.53.0-r1.apk
2024-10-25 21:02
30K
par2cmdline-turbo-1.2.0-r0.apk
2024-12-10 18:03
310K
par2cmdline-turbo-doc-1.2.0-r0.apk
2024-12-10 18:03
5.3K
paraexec-1.0-r3.apk
2024-10-25 21:02
13M
parcellite-1.2.5-r0.apk
2024-10-25 21:02
228K
parcellite-doc-1.2.5-r0.apk
2024-10-25 21:02
25K
parcellite-lang-1.2.5-r0.apk
2024-10-25 21:02
49K
pari-2.17.2-r0.apk
2025-03-06 07:04
581K
pari-dev-2.17.2-r0.apk
2025-03-06 07:04
106K
pari-doc-2.17.2-r0.apk
2025-03-06 07:04
924K
pari-libs-2.17.2-r0.apk
2025-03-06 07:04
4.4M
parse-changelog-0.6.8-r0.apk
2024-10-25 21:02
540K
pash-2.3.0-r2.apk
2024-10-25 21:02
4.3K
pass2csv-1.1.1-r1.apk
2024-10-25 21:02
8.4K
pass2csv-pyc-1.1.1-r1.apk
2024-10-25 21:02
7.5K
passage-1.7.4_alpha2-r0.apk
2024-12-24 12:03
8.1K
passage-bash-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
3.0K
passage-fish-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
2.7K
passage-zsh-completion-1.7.4_alpha2-r0.apk
2024-12-24 12:03
3.0K
pastel-0.10.0-r0.apk
2024-10-25 21:02
419K
pastel-bash-completion-0.10.0-r0.apk
2024-10-25 21:02
3.0K
pastel-fish-completion-0.10.0-r0.apk
2024-10-25 21:02
3.2K
pastel-zsh-completion-0.10.0-r0.apk
2024-10-25 21:02
4.9K
pasystray-0.8.2-r0.apk
2024-10-25 21:02
46K
pasystray-doc-0.8.2-r0.apk
2024-10-25 21:02
3.3K
pathvector-6.3.2-r12.apk
2025-04-13 23:31
4.1M
pcem-17-r2.apk
2024-10-25 21:02
2.0M
pcl-1.14.1-r0.apk
2025-02-22 15:39
481K
pcl-dev-1.14.1-r0.apk
2025-02-22 15:39
387K
pcl-libs-1.14.1-r0.apk
2025-02-22 15:39
1.3M
pcsx2-1.7.4819-r5.apk
2025-02-22 15:39
11M
pdal-python-plugins-1.6.2-r0.apk
2025-01-13 07:32
247K
pdf2svg-0.2.3-r1.apk
2024-10-25 21:02
4.8K
pdfcpu-0.9.1-r4.apk
2025-04-13 23:31
4.8M
pdfcrack-0.20-r0.apk
2024-10-25 21:02
24K
pdm-2.18.2-r0.apk
2024-10-25 21:02
227K
pdm-pyc-2.18.2-r0.apk
2024-10-25 21:02
482K
pebble-le-0.3.0-r2.apk
2024-12-14 22:23
63K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 22:23
40K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 22:23
3.7K
peervpn-0.044-r5.apk
2024-10-25 21:02
40K
peervpn-openrc-0.044-r5.apk
2024-10-25 21:02
1.8K
peg-0.1.18-r1.apk
2024-10-25 21:02
38K
peg-doc-0.1.18-r1.apk
2024-10-25 21:02
14K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 21:02
1.2M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 21:02
16K
percona-toolkit-3.5.4-r1.apk
2024-10-25 21:02
1.8M
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 21:02
298K
perl-adapter-async-0.019-r0.apk
2024-10-25 21:02
8.1K
perl-adapter-async-doc-0.019-r0.apk
2024-10-25 21:02
17K
perl-algorithm-backoff-0.010-r0.apk
2024-10-25 21:02
9.6K
perl-algorithm-backoff-doc-0.010-r0.apk
2024-10-25 21:02
29K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 21:02
5.7K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 21:02
5.1K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 21:02
6.1K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 21:02
4.6K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 21:02
79K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 21:02
148K
perl-algorithm-permute-0.17-r0.apk
2024-10-25 21:02
12K
perl-algorithm-permute-doc-0.17-r0.apk
2024-10-25 21:02
5.0K
perl-aliased-0.34-r4.apk
2024-10-25 21:02
5.7K
perl-aliased-doc-0.34-r4.apk
2024-10-25 21:02
5.7K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 21:02
5.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 21:02
4.0K
perl-anyevent-future-0.05-r0.apk
2024-10-25 21:02
5.2K
perl-anyevent-future-doc-0.05-r0.apk
2024-10-25 21:02
5.4K
perl-anyevent-riperedis-0.48-r0.apk
2024-10-25 21:02
12K
perl-anyevent-riperedis-doc-0.48-r0.apk
2024-10-25 21:02
10K
perl-archive-extract-0.88-r1.apk
2024-10-25 21:02
16K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 21:02
6.8K
perl-autobox-3.0.2-r0.apk
2024-10-25 21:02
19K
perl-autobox-doc-3.0.2-r0.apk
2024-10-25 21:02
8.8K
perl-b-hooks-op-check-0.22-r0.apk
2024-10-25 21:02
6.4K
perl-b-hooks-op-check-doc-0.22-r0.apk
2024-10-25 21:02
3.7K
perl-barcode-zbar-0.10-r3.apk
2024-10-25 21:02
30K
perl-barcode-zbar-doc-0.10-r3.apk
2024-10-25 21:02
13K
perl-bareword-filehandles-0.007-r0.apk
2024-10-25 21:02
5.8K
perl-bareword-filehandles-doc-0.007-r0.apk
2024-10-25 21:02
3.2K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 21:02
3.9K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 21:02
3.6K
perl-bsd-resource-1.2911-r10.apk
2024-10-25 21:02
19K
perl-bsd-resource-doc-1.2911-r10.apk
2024-10-25 21:02
7.9K
perl-bytes-random-secure-0.29-r0.apk
2024-10-25 21:02
14K
perl-bytes-random-secure-doc-0.29-r0.apk
2024-10-25 21:02
12K
perl-cache-lru-0.04-r0.apk
2024-10-25 21:02
3.0K
perl-cache-lru-doc-0.04-r0.apk
2024-10-25 21:02
3.2K
perl-cairo-1.109-r4.apk
2024-10-25 21:02
74K
perl-cairo-doc-1.109-r4.apk
2024-10-25 21:02
14K
perl-cairo-gobject-1.005-r4.apk
2024-10-25 21:02
6.3K
perl-cairo-gobject-doc-1.005-r4.apk
2024-10-25 21:02
3.0K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 23:31
9.0K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 23:31
7.8K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-21 17:21
3.8K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-21 17:21
4.0K
perl-catalyst-action-rest-1.21-r0.apk
2025-04-03 02:11
25K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-03 02:11
27K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 08:07
8.6K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 08:07
6.8K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 08:07
5.0K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 08:07
4.4K
perl-catalyst-devel-1.42-r0.apk
2025-03-21 17:21
54K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-21 17:21
11K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 08:07
2.7K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 08:07
375K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 23:31
6.5K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 23:31
12K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-04-03 02:11
32K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-04-03 02:11
56K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-21 17:21
5.4K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-21 17:21
10K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 13:29
4.1K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 13:29
13K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 13:56
14K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 13:56
25K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 06:32
4.9K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 06:32
4.8K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-21 17:21
8.7K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-21 17:21
7.4K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-21 17:21
150K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-21 17:21
216K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 13:29
9.1K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 13:29
11K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-13 23:31
14K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-13 23:31
13K
perl-cgi-expand-2.05-r4.apk
2024-10-25 21:02
6.9K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 21:02
6.2K
perl-cgi-simple-1.281-r0.apk
2025-03-12 15:42
56K
perl-cgi-simple-doc-1.281-r0.apk
2025-03-12 15:42
43K
perl-cgi-struct-1.21-r0.apk
2025-03-14 18:12
7.8K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-14 18:12
6.8K
perl-check-unitcheck-0.13-r1.apk
2024-10-25 21:02
5.8K
perl-check-unitcheck-doc-0.13-r1.apk
2024-10-25 21:02
3.6K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 21:02
12K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 21:02
7.5K
perl-class-c3-0.35-r1.apk
2024-10-25 21:02
9.5K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-14 18:12
5.1K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-14 18:12
4.7K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 21:02
5.5K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 21:02
5.3K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 21:02
9.3K
perl-class-inner-0.200001-r5.apk
2024-10-25 21:02
4.0K
perl-class-inner-doc-0.200001-r5.apk
2024-10-25 21:02
4.1K
perl-cli-osprey-0.08-r0.apk
2024-12-28 05:54
13K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 05:54
12K
perl-color-ansi-util-0.165-r0.apk
2024-10-25 21:02
7.3K
perl-color-ansi-util-doc-0.165-r0.apk
2024-10-25 21:02
5.2K
perl-color-rgb-util-0.609-r0.apk
2025-03-21 17:21
9.5K
perl-color-rgb-util-doc-0.609-r0.apk
2025-03-21 17:21
7.4K
perl-conf-libconfig-1.0.3-r0.apk
2024-10-25 21:02
24K
perl-conf-libconfig-doc-1.0.3-r0.apk
2024-10-25 21:02
5.4K
perl-constant-defer-6-r5.apk
2024-10-25 21:02
7.4K
perl-constant-defer-doc-6-r5.apk
2024-10-25 21:02
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 21:02
8.8K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 21:02
7.0K
perl-context-preserve-0.03-r4.apk
2024-10-25 21:02
3.9K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 21:02
4.2K
perl-cpan-changes-0.500004-r0.apk
2024-10-25 21:02
14K
perl-cpan-changes-doc-0.500004-r0.apk
2024-10-25 21:02
18K
perl-crypt-random-seed-0.03-r0.apk
2024-10-25 21:02
11K
perl-crypt-random-seed-doc-0.03-r0.apk
2024-10-25 21:02
8.8K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 21:02
7.0K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 21:02
6.4K
perl-css-object-0.2.0-r0.apk
2024-10-25 21:02
23K
perl-css-object-doc-0.2.0-r0.apk
2024-10-25 21:02
33K
perl-daemon-control-0.001010-r2.apk
2024-10-25 21:02
12K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 21:02
8.3K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 21:02
15K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 21:02
15K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 21:02
4.9K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 21:02
5.4K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 21:02
9.7K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 21:02
8.5K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 21:02
5.5K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 21:02
4.2K
perl-dancer2-1.1.2-r0.apk
2024-12-28 05:54
163K
perl-dancer2-doc-1.1.2-r0.apk
2024-12-28 05:54
301K
perl-data-checks-0.10-r0.apk
2024-10-25 21:02
22K
perl-data-checks-doc-0.10-r0.apk
2024-10-25 21:02
8.2K
perl-data-validate-domain-0.15-r0.apk
2024-10-25 21:02
5.8K
perl-data-validate-domain-doc-0.15-r0.apk
2024-10-25 21:02
5.5K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 21:02
8.8K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 21:02
5.9K
perl-data-visitor-0.32-r0.apk
2025-03-21 17:21
9.8K
perl-data-visitor-doc-0.32-r0.apk
2025-03-21 17:21
8.3K
perl-database-async-0.019-r0.apk
2024-10-25 21:02
23K
perl-database-async-doc-0.019-r0.apk
2024-10-25 21:02
29K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 21:02
14K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 21:02
9.3K
perl-datetime-format-atom-1.8.0-r0.apk
2025-01-05 16:14
3.2K
perl-datetime-format-atom-doc-1.8.0-r0.apk
2025-01-05 16:14
3.8K
perl-datetime-format-flexible-0.37-r0.apk
2024-12-31 14:36
18K
perl-datetime-format-flexible-doc-0.37-r0.apk
2024-12-31 14:36
12K
perl-datetime-format-rfc3339-1.10.0-r0.apk
2025-01-05 16:14
4.4K
perl-datetime-format-rfc3339-doc-1.10.0-r0.apk
2025-01-05 16:14
4.1K
perl-datetime-timezone-alias-0.06-r0.apk
2024-10-25 21:02
2.5K
perl-datetime-timezone-alias-doc-0.06-r0.apk
2024-10-25 21:02
7.6K
perl-datetime-timezone-catalog-extend-0.3.3-r0.apk
2024-10-25 21:02
12K
perl-datetime-timezone-catalog-extend-doc-0.3.3-r0.apk
2024-10-25 21:02
15K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 21:02
5.9K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 21:02
5.3K
perl-dbix-class-0.082844-r0.apk
2025-01-16 18:27
355K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 06:59
7.9K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 06:59
9.5K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 18:27
421K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-17 05:04
48K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-17 05:04
121K
perl-dbix-connector-0.60-r0.apk
2024-12-30 07:37
15K
perl-dbix-connector-doc-0.60-r0.apk
2024-12-30 07:37
22K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 21:02
4.3K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 21:02
7.5K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 21:02
8.1K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 21:02
8.5K
perl-dbix-lite-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 07:37
18K
perl-devel-confess-0.009004-r0.apk
2024-10-25 21:02
11K
perl-devel-confess-doc-0.009004-r0.apk
2024-10-25 21:02
6.7K
perl-devel-leak-0.03-r13.apk
2024-10-25 21:02
6.6K
perl-devel-leak-doc-0.03-r13.apk
2024-10-25 21:02
3.3K
perl-devel-refcount-0.10-r1.apk
2024-10-25 21:02
6.0K
perl-devel-refcount-doc-0.10-r1.apk
2024-10-25 21:02
4.2K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 21:02
5.6K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 21:02
5.2K
perl-digest-crc-0.24-r1.apk
2024-10-25 21:02
9.2K
perl-digest-crc-doc-0.24-r1.apk
2024-10-25 21:02
3.2K
perl-dns-unbound-0.29-r1.apk
2024-10-25 21:02
23K
perl-dns-unbound-anyevent-0.29-r1.apk
2024-10-25 21:02
2.3K
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk
2024-10-25 21:02
1.9K
perl-dns-unbound-doc-0.29-r1.apk
2024-10-25 21:02
15K
perl-dns-unbound-ioasync-0.29-r1.apk
2024-10-25 21:02
2.4K
perl-dns-unbound-mojo-0.29-r1.apk
2024-10-25 21:02
2.7K
perl-email-abstract-3.010-r0.apk
2024-10-25 21:02
7.7K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 21:02
13K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 21:02
4.0K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 21:02
3.8K
perl-email-reply-1.204-r5.apk
2024-10-25 21:02
6.1K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 21:02
4.8K
perl-email-sender-2.601-r0.apk
2025-04-04 13:29
25K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 13:29
42K
perl-ev-hiredis-0.07-r1.apk
2024-10-25 21:02
12K
perl-ev-hiredis-doc-0.07-r1.apk
2024-10-25 21:02
4.2K
perl-expect-1.38-r0.apk
2025-04-19 21:02
32K
perl-expect-doc-1.38-r0.apk
2025-04-19 21:02
20K
perl-expect-simple-0.04-r0.apk
2025-04-20 06:38
5.5K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 06:38
4.9K
perl-extutils-makemaker-7.70-r2.apk
2024-10-25 21:02
175K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 21:02
43K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 21:02
21K
perl-feed-find-0.13-r0.apk
2024-10-25 21:02
3.9K
perl-feed-find-doc-0.13-r0.apk
2024-10-25 21:02
3.7K
perl-ffi-c-0.15-r0.apk
2024-10-25 21:02
20K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 21:02
29K
perl-ffi-platypus-2.10-r0.apk
2024-12-19 07:09
181K
perl-ffi-platypus-doc-2.10-r0.apk
2024-12-19 07:09
146K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 21:02
5.2K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 21:02
5.2K
perl-file-changenotify-0.31-r0.apk
2025-03-21 17:21
12K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-21 17:21
14K
perl-file-mmagic-xs-0.09008-r4.apk
2024-10-25 21:02
29K
perl-file-mmagic-xs-doc-0.09008-r4.apk
2024-10-25 21:02
4.2K
perl-file-rename-2.02-r0.apk
2024-10-25 21:02
7.5K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 21:02
12K
perl-flowd-0.9.1-r10.apk
2024-10-25 21:02
21K
perl-flowd-doc-0.9.1-r10.apk
2024-10-25 21:02
3.2K
perl-freezethaw-0.5001-r2.apk
2024-10-25 21:02
9.8K
perl-freezethaw-doc-0.5001-r2.apk
2024-10-25 21:02
5.7K
perl-full-1.004-r0.apk
2024-10-25 21:02
7.1K
perl-full-doc-1.004-r0.apk
2024-10-25 21:02
10K
perl-future-asyncawait-hooks-0.02-r0.apk
2024-10-25 21:02
7.9K
perl-future-asyncawait-hooks-doc-0.02-r0.apk
2024-10-25 21:02
3.2K
perl-future-http-0.17-r0.apk
2024-10-25 21:02
9.2K
perl-future-http-doc-0.17-r0.apk
2024-10-25 21:02
16K
perl-future-q-0.120-r0.apk
2024-10-25 21:02
9.6K
perl-future-q-doc-0.120-r0.apk
2024-10-25 21:02
9.1K
perl-future-queue-0.52-r0.apk
2024-10-25 21:02
4.1K
perl-future-queue-doc-0.52-r0.apk
2024-10-25 21:02
4.3K
perl-gearman-2.004.015-r3.apk
2024-10-25 21:02
27K
perl-gearman-doc-2.004.015-r3.apk
2024-10-25 21:02
20K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 11:59
15K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 11:59
11K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 21:02
23K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 21:02
17K
perl-git-raw-0.90-r3.apk
2025-03-14 00:56
169K
perl-git-raw-doc-0.90-r3.apk
2025-03-14 00:56
117K
perl-git-repository-1.325-r0.apk
2024-10-25 21:02
16K
perl-git-repository-doc-1.325-r0.apk
2024-10-25 21:02
32K
perl-git-version-compare-1.005-r0.apk
2024-10-25 21:02
5.4K
perl-git-version-compare-doc-1.005-r0.apk
2024-10-25 21:02
4.9K
perl-glib-ex-objectbits-17-r0.apk
2024-10-25 21:02
15K
perl-glib-ex-objectbits-doc-17-r0.apk
2024-10-25 21:02
22K
perl-glib-object-introspection-0.051-r1.apk
2024-10-25 21:02
59K
perl-glib-object-introspection-doc-0.051-r1.apk
2024-10-25 21:02
11K
perl-graphql-client-0.605-r0.apk
2024-10-25 21:02
7.2K
perl-graphql-client-cli-0.605-r0.apk
2024-10-25 21:02
7.8K
perl-graphql-client-doc-0.605-r0.apk
2024-10-25 21:02
14K
perl-gtk2-1.24993-r6.apk
2024-10-25 21:02
866K
perl-gtk2-doc-1.24993-r6.apk
2024-10-25 21:02
670K
perl-gtk2-ex-listmodelconcat-11-r4.apk
2024-10-25 21:02
13K
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk
2024-10-25 21:02
7.3K
perl-gtk2-ex-widgetbits-48-r3.apk
2024-10-25 21:02
66K
perl-gtk2-ex-widgetbits-doc-48-r3.apk
2024-10-25 21:02
81K
perl-gtk3-0.038-r1.apk
2024-10-25 21:02
20K
perl-gtk3-doc-0.038-r1.apk
2024-10-25 21:02
9.2K
perl-guard-1.023-r9.apk
2024-10-25 21:02
8.3K
perl-guard-doc-1.023-r9.apk
2024-10-25 21:02
5.3K
perl-hash-ordered-0.014-r0.apk
2024-10-25 21:02
9.8K
perl-hash-ordered-doc-0.014-r0.apk
2024-10-25 21:02
19K
perl-html-object-0.5.1-r0.apk
2024-10-25 21:02
348K
perl-html-object-doc-0.5.1-r0.apk
2024-10-25 21:02
472K
perl-html-selector-xpath-0.28-r0.apk
2024-10-25 21:02
6.2K
perl-html-selector-xpath-doc-0.28-r0.apk
2024-10-25 21:02
4.1K
perl-html-tableextract-2.15-r4.apk
2024-10-25 21:02
18K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 21:02
9.9K
perl-http-thin-0.006-r0.apk
2024-10-25 21:02
3.1K
perl-http-thin-doc-0.006-r0.apk
2024-10-25 21:02
3.4K
perl-http-xsheaders-0.400005-r1.apk
2024-10-25 21:02
19K
perl-http-xsheaders-doc-0.400005-r1.apk
2024-10-25 21:02
6.3K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 21:02
4.2K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 21:02
4.1K
perl-indirect-0.39-r1.apk
2024-10-25 21:02
15K
perl-indirect-doc-0.39-r1.apk
2024-10-25 21:02
6.4K
perl-io-lambda-1.34-r0.apk
2024-10-25 21:02
75K
perl-io-lambda-doc-1.34-r0.apk
2024-10-25 21:02
68K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 21:02
5.8K
perl-json-maybeutf8-2.000-r0.apk
2024-10-25 21:02
3.1K
perl-json-maybeutf8-doc-2.000-r0.apk
2024-10-25 21:02
3.6K
perl-json-path-1.0.6-r0.apk
2024-10-25 21:02
16K
perl-json-path-doc-1.0.6-r0.apk
2024-10-25 21:02
13K
perl-json-validator-5.15-r0.apk
2025-03-21 17:21
58K
perl-json-validator-doc-5.15-r0.apk
2025-03-21 17:21
34K
perl-lib-abs-0.95-r0.apk
2024-10-25 21:02
3.9K
perl-lib-abs-doc-0.95-r0.apk
2024-10-25 21:02
4.0K
perl-libapreq2-2.17-r2.apk
2024-10-25 21:02
98K
perl-libapreq2-dev-2.17-r2.apk
2024-10-25 21:02
55K
perl-libapreq2-doc-2.17-r2.apk
2024-10-25 21:02
37K
perl-libintl-perl-1.35-r0.apk
2025-01-16 14:35
305K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 14:35
571K
perl-linux-pid-0.04-r13.apk
2024-10-25 21:02
4.6K
perl-linux-pid-doc-0.04-r13.apk
2024-10-25 21:02
3.0K
perl-list-binarysearch-0.25-r0.apk
2024-10-25 21:02
10K
perl-list-binarysearch-doc-0.25-r0.apk
2024-10-25 21:02
12K
perl-list-binarysearch-xs-0.09-r1.apk
2024-10-25 21:02
12K
perl-list-binarysearch-xs-doc-0.09-r1.apk
2024-10-25 21:02
8.1K
perl-list-keywords-0.11-r0.apk
2024-10-25 21:02
14K
perl-list-keywords-doc-0.11-r0.apk
2024-10-25 21:02
5.5K
perl-log-fu-0.31-r4.apk
2024-10-25 21:02
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 21:02
7.3K
perl-log-message-0.08-r3.apk
2024-10-25 21:02
11K
perl-log-message-doc-0.08-r3.apk
2024-10-25 21:02
12K
perl-log-message-simple-0.10-r3.apk
2024-10-25 21:02
4.2K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 21:02
4.0K
perl-lv-0.006-r0.apk
2024-10-25 21:02
4.1K
perl-lv-backend-magic-0.006-r0.apk
2024-10-25 21:02
2.1K
perl-lv-backend-sentinel-0.006-r0.apk
2024-10-25 21:02
2.0K
perl-lv-doc-0.006-r0.apk
2024-10-25 21:02
4.1K
perl-lwp-online-1.08-r0.apk
2024-10-25 21:02
6.2K
perl-lwp-online-doc-1.08-r0.apk
2024-10-25 21:02
5.7K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 21:02
6.4K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 21:02
5.7K
perl-mastodon-client-0.017-r0.apk
2024-10-25 21:02
22K
perl-mastodon-client-doc-0.017-r0.apk
2024-10-25 21:02
33K
perl-math-int64-0.57-r1.apk
2024-10-25 21:02
28K
perl-math-int64-doc-0.57-r1.apk
2024-10-25 21:02
10K
perl-math-libm-1.00-r14.apk
2024-10-25 21:02
10K
perl-math-libm-doc-1.00-r14.apk
2024-10-25 21:02
3.1K
perl-math-random-0.72-r0.apk
2024-10-25 21:02
36K
perl-math-random-doc-0.72-r0.apk
2024-10-25 21:02
11K
perl-math-random-isaac-xs-1.004-r8.apk
2024-10-25 21:02
7.6K
perl-math-random-isaac-xs-doc-1.004-r8.apk
2024-10-25 21:02
3.8K
perl-mce-1.901-r0.apk
2025-01-03 05:21
134K
perl-mce-doc-1.901-r0.apk
2025-01-03 05:21
170K
perl-minion-10.31-r0.apk
2024-10-25 21:02
1.5M
perl-minion-backend-pg-10.31-r0.apk
2024-10-25 21:02
9.6K
perl-minion-backend-redis-0.003-r0.apk
2024-10-25 21:02
11K
perl-minion-backend-redis-doc-0.003-r0.apk
2024-10-25 21:02
6.6K
perl-minion-backend-sqlite-5.0.7-r0.apk
2024-10-25 21:02
10K
perl-minion-backend-sqlite-doc-5.0.7-r0.apk
2024-10-25 21:02
6.8K
perl-minion-doc-10.31-r0.apk
2024-10-25 21:02
49K
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk
2024-10-25 21:02
3.4K
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk
2024-10-25 21:02
3.8K
perl-module-generic-0.42.0-r0.apk
2025-04-03 02:11
264K
perl-module-generic-doc-0.42.0-r0.apk
2025-04-03 02:11
208K
perl-mojo-reactor-ioasync-1.002-r0.apk
2024-10-25 21:02
4.7K
perl-mojo-reactor-ioasync-doc-1.002-r0.apk
2024-10-25 21:02
4.4K
perl-mojo-redis-3.29-r0.apk
2024-10-25 21:02
25K
perl-mojo-redis-doc-3.29-r0.apk
2024-10-25 21:02
25K
perl-mojo-sqlite-3.009-r0.apk
2024-10-25 21:02
16K
perl-mojo-sqlite-doc-3.009-r0.apk
2024-10-25 21:02
19K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-21 17:21
28K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-21 17:21
33K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-16 05:03
4.1K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-16 05:03
4.2K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-16 05:03
5.4K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-16 05:03
5.3K
perl-moosex-getopt-0.78-r0.apk
2025-03-16 05:03
14K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-16 05:03
25K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-21 17:21
8.6K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-21 17:21
22K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-04-21 19:33
6.0K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-04-21 19:33
5.5K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-15 15:08
7.7K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-15 15:08
24K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-16 05:03
3.8K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-16 05:03
4.2K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-15 15:08
4.0K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-15 15:08
4.1K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-15 15:08
3.0K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-15 15:08
3.6K
perl-multidimensional-0.014-r0.apk
2024-10-25 21:02
4.7K
perl-multidimensional-doc-0.014-r0.apk
2024-10-25 21:02
3.1K
perl-musicbrainz-discid-0.06-r1.apk
2024-10-25 21:02
9.1K
perl-musicbrainz-discid-doc-0.06-r1.apk
2024-10-25 21:02
4.3K
perl-net-address-ip-local-0.1.2-r0.apk
2024-10-25 21:02
3.4K
perl-net-address-ip-local-doc-0.1.2-r0.apk
2024-10-25 21:02
3.5K
perl-net-amqp-rabbitmq-2.40012-r0.apk
2024-10-25 21:02
77K
perl-net-amqp-rabbitmq-doc-2.40012-r0.apk
2024-10-25 21:02
9.7K
perl-net-async-redis-6.006-r0.apk
2024-12-11 17:22
58K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 17:22
66K
perl-net-async-redis-xs-1.001-r1.apk
2024-10-25 21:02
8.9K
perl-net-async-redis-xs-doc-1.001-r1.apk
2024-10-25 21:02
5.3K
perl-net-curl-0.57-r0.apk
2025-01-22 17:11
61K
perl-net-curl-doc-0.57-r0.apk
2025-01-22 17:11
39K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 21:02
8.9K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 21:02
2.7K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 21:02
12K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 21:02
3.0K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 21:02
3.1K
perl-net-idn-encode-2.500-r1.apk
2024-10-25 21:02
83K
perl-net-idn-encode-doc-2.500-r1.apk
2024-10-25 21:02
22K
perl-net-irr-0.10-r0.apk
2024-10-25 21:02
5.5K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 21:02
5.2K
perl-net-jabber-2.0-r0.apk
2024-10-25 21:02
51K
perl-net-jabber-bot-2.1.7-r0.apk
2024-10-25 21:02
13K
perl-net-jabber-bot-doc-2.1.7-r0.apk
2024-10-25 21:02
7.6K
perl-net-jabber-doc-2.0-r0.apk
2024-10-25 21:02
48K
perl-net-netmask-2.0002-r2.apk
2024-10-25 21:02
14K
perl-net-netmask-doc-2.0002-r2.apk
2024-10-25 21:02
8.5K
perl-net-patricia-1.22-r12.apk
2024-10-25 21:02
21K
perl-net-patricia-doc-1.22-r12.apk
2024-10-25 21:02
6.0K
perl-net-xmpp-1.05-r0.apk
2024-10-25 21:02
58K
perl-net-xmpp-doc-1.05-r0.apk
2024-10-25 21:02
44K
perl-netaddr-mac-0.98-r1.apk
2024-10-25 21:02
11K
perl-netaddr-mac-doc-0.98-r1.apk
2024-10-25 21:02
8.0K
perl-nice-try-1.3.15-r0.apk
2024-11-07 12:08
28K
perl-nice-try-doc-1.3.15-r0.apk
2024-11-07 12:08
12K
perl-number-format-1.76-r1.apk
2024-10-25 21:02
15K
perl-number-format-doc-1.76-r1.apk
2024-10-25 21:02
9.0K
perl-number-misc-1.2-r5.apk
2024-10-25 21:02
5.2K
perl-number-misc-doc-1.2-r5.apk
2024-10-25 21:02
4.4K
perl-number-tolerant-1.710-r0.apk
2024-10-25 21:02
15K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 21:02
26K
perl-object-array-0.060-r0.apk
2024-10-25 21:02
5.7K
perl-object-array-doc-0.060-r0.apk
2024-10-25 21:02
7.0K
perl-object-pad-fieldattr-checked-0.12-r0.apk
2024-10-25 21:02
8.2K
perl-object-pad-fieldattr-checked-doc-0.12-r0.apk
2024-10-25 21:02
4.5K
perl-object-signature-1.08-r0.apk
2025-04-04 13:56
3.7K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 13:56
5.4K
perl-openapi-client-1.07-r0.apk
2024-10-25 21:02
8.6K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 21:02
7.4K
perl-opentracing-1.006-r0.apk
2024-10-25 21:02
18K
perl-opentracing-doc-1.006-r0.apk
2024-10-25 21:02
33K
perl-pango-1.227-r11.apk
2024-10-25 21:02
79K
perl-pango-doc-1.227-r11.apk
2024-10-25 21:02
81K
perl-path-iter-0.2-r3.apk
2024-10-25 21:02
5.2K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 21:02
5.2K
perl-perlio-locale-0.10-r12.apk
2024-10-25 21:02
4.4K
perl-perlio-locale-doc-0.10-r12.apk
2024-10-25 21:02
3.0K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 21:02
3.9K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 21:02
3.3K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-21 17:21
3.6K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-21 17:21
4.0K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 15:02
2.5K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 15:02
3.1K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 21:02
3.2K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 21:02
3.1K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-21 17:21
2.8K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-21 17:21
3.1K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 21:02
4.6K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 21:02
4.9K
perl-pod-tidy-0.10-r1.apk
2024-10-25 21:02
10K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 21:02
11K
perl-ppi-xs-0.910-r1.apk
2024-10-25 21:02
5.7K
perl-ppi-xs-doc-0.910-r1.apk
2024-10-25 21:02
3.4K
perl-proc-guard-0.07-r4.apk
2024-10-25 21:02
3.7K
perl-proc-guard-doc-0.07-r4.apk
2024-10-25 21:02
3.5K
perl-promise-es6-0.28-r0.apk
2024-10-25 21:02
11K
perl-promise-es6-anyevent-0.28-r0.apk
2024-10-25 21:02
2.5K
perl-promise-es6-doc-0.28-r0.apk
2024-10-25 21:02
12K
perl-promise-es6-future-0.28-r0.apk
2024-10-25 21:02
2.3K
perl-promise-es6-io-async-0.28-r0.apk
2024-10-25 21:02
3.0K
perl-promise-es6-mojo-ioloop-0.28-r0.apk
2024-10-25 21:02
2.6K
perl-promise-me-0.5.0-r0.apk
2024-10-25 21:02
26K
perl-promise-me-doc-0.5.0-r0.apk
2024-10-25 21:02
12K
perl-promise-xs-0.20-r1.apk
2024-10-25 21:02
23K
perl-promise-xs-doc-0.20-r1.apk
2024-10-25 21:02
8.7K
perl-protocol-database-postgresql-2.001-r0.apk
2024-10-25 21:02
19K
perl-protocol-database-postgresql-doc-2.001-r0.apk
2024-10-25 21:02
38K
perl-protocol-redis-1.0021-r0.apk
2024-10-25 21:02
5.6K
perl-protocol-redis-doc-1.0021-r0.apk
2024-10-25 21:02
5.0K
perl-protocol-redis-faster-0.003-r0.apk
2024-10-25 21:02
3.4K
perl-protocol-redis-faster-doc-0.003-r0.apk
2024-10-25 21:02
3.3K
perl-ref-util-xs-0.117-r8.apk
2024-10-25 21:02
9.7K
perl-ref-util-xs-doc-0.117-r8.apk
2024-10-25 21:02
3.4K
perl-regexp-grammars-1.058-r0.apk
2024-10-25 21:02
66K
perl-regexp-grammars-doc-1.058-r0.apk
2024-10-25 21:02
48K
perl-role-eventemitter-0.003-r0.apk
2024-10-25 21:02
3.7K
perl-role-eventemitter-doc-0.003-r0.apk
2024-10-25 21:02
4.0K
perl-rxperl-6.29.8-r0.apk
2024-10-25 21:02
26K
perl-rxperl-anyevent-6.8.1-r0.apk
2024-10-25 21:02
2.7K
perl-rxperl-anyevent-doc-6.8.1-r0.apk
2024-10-25 21:02
9.0K
perl-rxperl-doc-6.29.8-r0.apk
2024-10-25 21:02
23K
perl-rxperl-ioasync-6.9.1-r0.apk
2024-10-25 21:02
2.8K
perl-rxperl-ioasync-doc-6.9.1-r0.apk
2024-10-25 21:02
9.0K
perl-rxperl-mojo-6.8.2-r0.apk
2024-10-25 21:02
2.8K
perl-rxperl-mojo-doc-6.8.2-r0.apk
2024-10-25 21:02
9.1K
perl-ryu-4.001-r0.apk
2024-10-25 21:02
26K
perl-ryu-async-0.020-r0.apk
2024-10-25 21:02
7.5K
perl-ryu-async-doc-0.020-r0.apk
2024-10-25 21:02
12K
perl-ryu-doc-4.001-r0.apk
2024-10-25 21:02
35K
perl-scalar-readonly-0.03-r1.apk
2024-10-25 21:02
5.2K
perl-scalar-readonly-doc-0.03-r1.apk
2024-10-25 21:02
3.4K
perl-sentinel-0.07-r1.apk
2024-10-25 21:02
7.2K
perl-sentinel-doc-0.07-r1.apk
2024-10-25 21:02
4.2K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 21:02
8.8K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 21:02
7.4K
perl-signature-attribute-checked-0.06-r0.apk
2024-10-25 21:02
7.6K
perl-signature-attribute-checked-doc-0.06-r0.apk
2024-10-25 21:02
4.6K
perl-snmp-5.0404-r13.apk
2024-10-25 21:02
70K
perl-snmp-doc-5.0404-r13.apk
2024-10-25 21:02
14K
perl-snmp-info-3.972002-r0.apk
2024-10-30 06:59
333K
perl-snmp-info-doc-3.972002-r0.apk
2024-10-30 06:59
379K
perl-soap-lite-1.27-r5.apk
2024-10-25 21:02
110K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 21:02
90K
perl-sort-naturally-1.03-r4.apk
2024-10-25 21:02
8.7K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 21:02
5.5K
perl-sort-versions-1.62-r0.apk
2024-10-25 21:02
3.7K
perl-sort-versions-doc-1.62-r0.apk
2024-10-25 21:02
4.2K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 21:02
30K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 21:02
20K
perl-sql-abstract-more-1.43-r0.apk
2024-12-30 07:37
27K
perl-sql-abstract-more-doc-1.43-r0.apk
2024-12-30 07:37
17K
perl-starman-0.4017-r0.apk
2024-10-25 21:02
13K
perl-starman-doc-0.4017-r0.apk
2024-10-25 21:02
10K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 21:02
9.2K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 21:02
50K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 21:02
30K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 21:02
38K
perl-storable-improved-0.1.3-r0.apk
2024-10-25 21:02
6.7K
perl-storable-improved-doc-0.1.3-r0.apk
2024-10-25 21:02
6.9K
perl-string-camelcase-0.04-r2.apk
2024-10-25 21:02
3.2K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 21:02
3.5K
perl-string-compare-constanttime-0.321-r6.apk
2024-10-25 21:02
7.1K
perl-string-compare-constanttime-doc-0.321-r6.apk
2024-10-25 21:02
5.2K
perl-string-crc32-2.100-r4.apk
2024-10-25 21:02
6.8K
perl-string-crc32-doc-2.100-r4.apk
2024-10-25 21:02
3.4K
perl-string-escape-2010.002-r0.apk
2025-04-03 02:11
8.9K
perl-string-escape-doc-2010.002-r0.apk
2025-04-03 02:11
7.8K
perl-string-random-0.32-r2.apk
2024-10-25 21:02
7.9K
perl-string-random-doc-0.32-r2.apk
2024-10-25 21:02
6.2K
perl-syntax-keyword-match-0.15-r0.apk
2024-10-25 21:02
14K
perl-syntax-keyword-match-doc-0.15-r0.apk
2024-10-25 21:02
7.8K
perl-syntax-operator-equ-0.10-r0.apk
2024-10-25 21:02
7.9K
perl-syntax-operator-equ-doc-0.10-r0.apk
2024-10-25 21:02
6.5K
perl-syntax-operator-in-0.10-r0.apk
2024-10-25 21:02
9.4K
perl-syntax-operator-in-doc-0.10-r0.apk
2024-10-25 21:02
5.8K
perl-sys-syscall-0.25-r10.apk
2024-10-25 21:02
5.3K
perl-sys-syscall-doc-0.25-r10.apk
2024-10-25 21:02
3.7K
perl-sys-virt-11.1.0-r0.apk
2025-03-21 17:21
198K
perl-sys-virt-doc-11.1.0-r0.apk
2025-03-21 17:21
99K
perl-system-command-1.122-r0.apk
2024-10-25 21:02
12K
perl-system-command-doc-1.122-r0.apk
2024-10-25 21:02
10K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 21:02
2.7K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 21:02
3.0K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 21:02
4.9K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 21:02
4.4K
perl-template-timer-1.00-r0.apk
2025-04-13 23:31
3.4K
perl-template-timer-doc-1.00-r0.apk
2025-04-13 23:31
3.7K
perl-template-tiny-1.14-r0.apk
2024-12-15 11:09
5.2K
perl-template-tiny-doc-1.14-r0.apk
2024-12-15 11:09
4.7K
perl-term-size-0.211-r4.apk
2024-10-25 21:02
5.6K
perl-term-size-doc-0.211-r4.apk
2024-10-25 21:02
3.7K
perl-term-ui-0.50-r1.apk
2024-10-25 21:02
10K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 21:02
8.5K
perl-test-api-0.010-r2.apk
2024-10-25 21:02
5.1K
perl-test-api-doc-0.010-r2.apk
2024-10-25 21:02
4.3K
perl-test-class-tiny-0.03-r0.apk
2024-10-25 21:02
5.9K
perl-test-class-tiny-doc-0.03-r0.apk
2024-10-25 21:02
5.4K
perl-test-describeme-0.004-r0.apk
2024-10-25 21:02
3.6K
perl-test-describeme-doc-0.004-r0.apk
2024-10-25 21:02
4.2K
perl-test-distribution-2.00-r1.apk
2024-10-25 21:02
7.8K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 21:02
6.1K
perl-test-expander-2.5.1-r0.apk
2024-10-25 21:02
7.1K
perl-test-expander-doc-2.5.1-r0.apk
2024-10-25 21:02
20K
perl-test-expect-0.34-r0.apk
2025-04-21 19:35
3.5K
perl-test-expect-doc-0.34-r0.apk
2025-04-21 19:35
3.6K
perl-test-file-1.995-r0.apk
2025-04-19 19:54
11K
perl-test-file-doc-1.995-r0.apk
2025-04-19 19:54
6.8K
perl-test-files-0.26-r0.apk
2024-10-25 21:02
6.7K
perl-test-files-doc-0.26-r0.apk
2024-10-25 21:02
15K
perl-test-lwp-useragent-0.036-r0.apk
2024-10-25 21:02
9.8K
perl-test-lwp-useragent-doc-0.036-r0.apk
2024-10-25 21:02
8.3K
perl-test-memorygrowth-0.05-r0.apk
2024-10-25 21:02
6.4K
perl-test-memorygrowth-doc-0.05-r0.apk
2024-10-25 21:02
5.3K
perl-test-modern-0.013-r3.apk
2024-10-25 21:02
15K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 21:02
9.9K
perl-test-randomresult-0.001-r0.apk
2024-10-25 21:02
3.5K
perl-test-randomresult-doc-0.001-r0.apk
2024-10-25 21:02
3.7K
perl-test-redisserver-0.23-r0.apk
2024-10-25 21:02
5.0K
perl-test-redisserver-doc-0.23-r0.apk
2024-10-25 21:02
4.1K
perl-test-requires-git-1.008-r0.apk
2024-10-25 21:02
4.8K
perl-test-requires-git-doc-1.008-r0.apk
2024-10-25 21:02
4.4K
perl-test-roo-1.004-r3.apk
2024-10-25 21:02
12K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 21:02
15K
perl-test-settings-0.003-r0.apk
2024-10-25 21:02
4.9K
perl-test-settings-doc-0.003-r0.apk
2024-10-25 21:02
6.0K
perl-test-timer-2.12-r2.apk
2024-10-25 21:02
8.9K
perl-test-timer-doc-2.12-r2.apk
2024-10-25 21:02
8.4K
perl-test-toolbox-0.4-r5.apk
2024-10-25 21:02
9.9K
perl-test-toolbox-doc-0.4-r5.apk
2024-10-25 21:02
6.2K
perl-test-trap-0.3.5-r1.apk
2024-10-25 21:02
20K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 21:02
20K
perl-test-unit-0.27-r0.apk
2024-10-25 21:02
37K
perl-test-unit-doc-0.27-r0.apk
2024-10-25 21:02
48K
perl-test-useallmodules-0.17-r1.apk
2024-10-25 21:02
3.8K
perl-test-useallmodules-doc-0.17-r1.apk
2024-10-25 21:02
3.9K
perl-test-utf8-1.03-r0.apk
2024-11-16 16:17
5.6K
perl-test-utf8-doc-1.03-r0.apk
2024-11-16 16:17
4.9K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 23:31
15K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 06:32
7.4K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 06:32
6.2K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 23:31
10K
perl-test2-tools-explain-0.02-r0.apk
2024-10-25 21:02
3.8K
perl-test2-tools-explain-doc-0.02-r0.apk
2024-10-25 21:02
4.4K
perl-text-brew-0.02-r5.apk
2024-10-25 21:02
4.5K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 21:02
4.1K
perl-text-simpletable-2.07-r0.apk
2025-03-21 17:21
4.5K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-21 17:21
3.5K
perl-text-table-any-0.117-r0.apk
2024-10-25 21:02
8.1K
perl-text-table-any-doc-0.117-r0.apk
2024-10-25 21:02
6.7K
perl-text-table-sprintf-0.008-r0.apk
2024-10-25 21:02
5.4K
perl-text-table-sprintf-doc-0.008-r0.apk
2024-10-25 21:02
5.2K
perl-throwable-1.001-r1.apk
2024-10-25 21:02
6.2K
perl-throwable-doc-1.001-r1.apk
2024-10-25 21:02
8.0K
perl-tickit-widget-choice-0.07-r0.apk
2024-10-25 21:02
3.9K
perl-tickit-widget-choice-doc-0.07-r0.apk
2024-10-25 21:02
3.4K
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk
2024-10-25 21:02
4.6K
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk
2024-10-25 21:02
3.8K
perl-tickit-widget-floatbox-0.11-r0.apk
2024-10-25 21:02
4.7K
perl-tickit-widget-floatbox-doc-0.11-r0.apk
2024-10-25 21:02
4.2K
perl-tickit-widget-menu-0.16-r0.apk
2024-10-25 21:02
7.2K
perl-tickit-widget-menu-doc-0.16-r0.apk
2024-10-25 21:02
6.9K
perl-tickit-widget-scrollbox-0.12-r0.apk
2024-10-25 21:02
8.0K
perl-tickit-widget-scrollbox-doc-0.12-r0.apk
2024-10-25 21:02
6.5K
perl-tie-toobject-0.03-r0.apk
2025-03-21 17:21
2.6K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-21 17:21
3.2K
perl-time-moment-0.44-r0.apk
2024-10-25 21:02
38K
perl-time-moment-doc-0.44-r0.apk
2024-10-25 21:02
31K
perl-time-moment-role-strptime-0.001-r0.apk
2024-10-25 21:02
2.7K
perl-time-moment-role-strptime-doc-0.001-r0.apk
2024-10-25 21:02
3.4K
perl-time-moment-role-timezone-1.000-r0.apk
2024-10-25 21:02
3.6K
perl-time-moment-role-timezone-doc-1.000-r0.apk
2024-10-25 21:02
4.0K
perl-time-timegm-0.01-r9.apk
2024-10-25 21:02
6.5K
perl-time-timegm-doc-0.01-r9.apk
2024-10-25 21:02
3.8K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-21 17:21
20K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-21 17:21
46K
perl-types-path-tiny-0.006-r0.apk
2024-10-25 21:02
4.0K
perl-types-path-tiny-doc-0.006-r0.apk
2024-10-25 21:02
4.1K
perl-uri-db-0.23-r0.apk
2025-01-09 06:27
11K
perl-uri-db-doc-0.23-r0.apk
2025-01-09 06:27
8.4K
perl-uri-fetch-0.15-r0.apk
2024-10-25 21:02
7.1K
perl-uri-fetch-doc-0.15-r0.apk
2024-10-25 21:02
7.5K
perl-uri-find-20160806-r0.apk
2025-03-23 08:58
14K
perl-uri-find-doc-20160806-r0.apk
2025-03-23 08:58
9.1K
perl-uri-nested-0.10-r0.apk
2024-10-25 21:02
4.0K
perl-uri-nested-doc-0.10-r0.apk
2024-10-25 21:02
3.9K
perl-uri-redis-0.02-r0.apk
2024-10-25 21:02
3.2K
perl-uri-redis-doc-0.02-r0.apk
2024-10-25 21:02
4.6K
perl-uri-tcp-2.0.0-r0.apk
2024-10-25 21:02
2.7K
perl-uri-tcp-doc-2.0.0-r0.apk
2024-10-25 21:02
5.0K
perl-uri-ws-0.03-r0.apk
2025-03-21 17:21
2.3K
perl-uri-ws-doc-0.03-r0.apk
2025-03-21 17:21
4.3K
perl-url-encode-0.03-r4.apk
2024-10-25 21:02
5.2K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 21:02
4.7K
perl-variable-disposition-0.005-r0.apk
2024-10-25 21:02
3.3K
perl-variable-disposition-doc-0.005-r0.apk
2024-10-25 21:02
5.7K
perl-x-tiny-0.22-r0.apk
2024-10-25 21:02
6.9K
perl-x-tiny-doc-0.22-r0.apk
2024-10-25 21:02
7.6K
perl-xml-atom-0.43-r0.apk
2024-10-25 21:02
20K
perl-xml-atom-doc-0.43-r0.apk
2024-10-25 21:02
16K
perl-xml-bare-0.53-r13.apk
2024-10-25 21:02
28K
perl-xml-bare-doc-0.53-r13.apk
2024-10-25 21:02
11K
perl-xml-feed-0.65-r0.apk
2024-10-25 21:02
14K
perl-xml-feed-doc-0.65-r0.apk
2024-10-25 21:02
12K
perl-xml-parser-style-easytree-0.09-r0.apk
2024-10-25 21:02
5.0K
perl-xml-parser-style-easytree-doc-0.09-r0.apk
2024-10-25 21:02
5.4K
perl-xml-rpc-2.1-r0.apk
2024-10-25 21:02
5.7K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 21:02
4.9K
perl-xml-stream-1.24-r0.apk
2024-10-25 21:02
44K
perl-xml-stream-doc-1.24-r0.apk
2024-10-25 21:02
18K
persistent-cache-cpp-1.0.7-r4.apk
2025-02-22 15:39
44K
persistent-cache-cpp-dev-1.0.7-r4.apk
2025-02-22 15:39
18K
persistent-cache-cpp-doc-1.0.7-r4.apk
2025-02-22 15:39
3.1K
pest-language-server-0.3.9-r0.apk
2024-10-25 21:02
1.0M
pfetch-1.9.0-r0.apk
2025-04-10 15:52
23K
pfetch-doc-1.9.0-r0.apk
2025-04-10 15:52
5.7K
pgcat-1.2.0-r1.apk
2025-01-01 18:38
2.6M
pgcat-openrc-1.2.0-r1.apk
2025-01-01 18:38
1.9K
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 21:02
3.9M
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 21:02
1.8K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 21:02
287K
php81-8.1.32-r1.apk
2025-04-03 02:11
1.8M
php81-apache2-8.1.32-r1.apk
2025-04-03 02:11
1.7M
php81-bcmath-8.1.32-r1.apk
2025-04-03 02:11
17K
php81-bz2-8.1.32-r1.apk
2025-04-03 02:11
9.6K
php81-calendar-8.1.32-r1.apk
2025-04-03 02:11
12K
php81-cgi-8.1.32-r1.apk
2025-04-03 02:11
1.7M
php81-common-8.1.32-r1.apk
2025-04-03 02:11
25K
php81-ctype-8.1.32-r1.apk
2025-04-03 02:11
4.8K
php81-curl-8.1.32-r1.apk
2025-04-03 02:11
33K
php81-dba-8.1.32-r1.apk
2025-04-03 02:11
22K
php81-dev-8.1.32-r1.apk
2025-04-03 02:11
939K
php81-doc-8.1.32-r1.apk
2025-04-03 02:11
68K
php81-dom-8.1.32-r1.apk
2025-04-03 02:11
59K
php81-embed-8.1.32-r1.apk
2025-04-03 02:11
1.7M
php81-enchant-8.1.32-r1.apk
2025-04-03 02:11
8.2K
php81-exif-8.1.32-r1.apk
2025-04-03 02:11
29K
php81-ffi-8.1.32-r1.apk
2025-04-03 02:11
66K
php81-fileinfo-8.1.32-r1.apk
2025-04-03 02:11
378K
php81-fpm-8.1.32-r1.apk
2025-04-03 02:11
1.8M
php81-ftp-8.1.32-r1.apk
2025-04-03 02:11
22K
php81-gd-8.1.32-r1.apk
2025-04-03 02:11
123K
php81-gettext-8.1.32-r1.apk
2025-04-03 02:11
5.6K
php81-gmp-8.1.32-r1.apk
2025-04-03 02:11
20K
php81-iconv-8.1.32-r1.apk
2025-04-03 02:11
17K
php81-imap-8.1.32-r1.apk
2025-04-03 02:11
32K
php81-intl-8.1.32-r1.apk
2025-04-03 02:11
133K
php81-ldap-8.1.32-r1.apk
2025-04-03 02:11
30K
php81-litespeed-8.1.32-r1.apk
2025-04-03 02:11
1.8M
php81-mbstring-8.1.32-r1.apk
2025-04-03 02:11
568K
php81-mysqli-8.1.32-r1.apk
2025-04-03 02:11
39K
php81-mysqlnd-8.1.32-r1.apk
2025-04-03 02:11
77K
php81-odbc-8.1.32-r1.apk
2025-04-03 02:11
23K
php81-opcache-8.1.32-r1.apk
2025-04-03 02:11
367K
php81-openssl-8.1.32-r1.apk
2025-04-03 02:11
70K
php81-pcntl-8.1.32-r1.apk
2025-04-03 02:11
13K
php81-pdo-8.1.32-r1.apk
2025-04-03 02:11
40K
php81-pdo_dblib-8.1.32-r1.apk
2025-04-03 02:11
12K
php81-pdo_mysql-8.1.32-r1.apk
2025-04-03 02:11
13K
php81-pdo_odbc-8.1.32-r1.apk
2025-04-03 02:11
12K
php81-pdo_pgsql-8.1.32-r1.apk
2025-04-03 02:11
18K
php81-pdo_sqlite-8.1.32-r1.apk
2025-04-03 02:11
12K
php81-pear-8.1.32-r1.apk
2025-04-03 02:11
338K
php81-pecl-amqp-2.1.2-r0.apk
2024-10-25 21:02
53K
php81-pecl-apcu-5.1.24-r0.apk
2024-10-25 21:02
54K
php81-pecl-ast-1.1.2-r0.apk
2024-10-25 21:02
19K
php81-pecl-brotli-0.16.0-r0.apk
2025-04-22 07:36
12K
php81-pecl-couchbase-4.2.7-r0.apk
2025-03-21 17:21
4.9M
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 17:30
9.8K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 21:02
17K
php81-pecl-ds-1.5.0-r0.apk
2024-10-25 21:02
55K
php81-pecl-event-3.1.4-r0.apk
2024-10-25 21:02
48K
php81-pecl-grpc-1.71.0-r0.apk
2025-03-12 07:51
4.8M
php81-pecl-igbinary-3.2.16-r0.apk
2024-10-25 21:02
33K
php81-pecl-imagick-3.8.0-r0.apk
2025-04-10 22:02
102K
php81-pecl-imagick-dev-3.8.0-r0.apk
2025-04-10 22:02
2.3K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 21:02
40K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:02
10K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 21:02
29K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 21:02
7.0K
php81-pecl-mailparse-3.1.8-r0.apk
2024-10-25 21:02
23K
php81-pecl-maxminddb-1.12.0-r0.apk
2024-11-15 18:11
7.7K
php81-pecl-mcrypt-1.0.7-r0.apk
2024-10-25 21:02
14K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 21:02
41K
php81-pecl-memcached-3.3.0-r0.apk
2024-10-25 21:02
45K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-24 18:23
13K
php81-pecl-mongodb-2.0.0-r0.apk
2025-04-10 20:24
804K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 21:02
26K
php81-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:02
34K
php81-pecl-opentelemetry-1.1.2-r0.apk
2025-01-23 04:50
12K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 18:17
9.1K
php81-pecl-protobuf-4.30.0-r0.apk
2025-03-05 19:38
139K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 21:02
17K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 13:52
35K
php81-pecl-redis-6.2.0-r0.apk
2025-03-27 11:58
188K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 20:02
20K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 21:02
27K
php81-pecl-swoole-6.0.2-r0.apk
2025-03-26 19:30
881K
php81-pecl-swoole-dev-6.0.2-r0.apk
2025-03-26 19:30
213K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 12:06
189K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 21:02
6.4K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 21:02
9.9K
php81-pecl-uuid-1.2.1-r0.apk
2024-10-25 21:02
6.2K
php81-pecl-vips-1.0.13-r0.apk
2024-10-25 21:02
16K
php81-pecl-xdebug-3.4.2-r0.apk
2025-03-11 12:19
144K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 21:02
12K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 21:02
801K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 02:44
222K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 21:02
35K
php81-pecl-yaml-2.2.4-r0.apk
2024-10-25 21:02
18K
php81-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 18:30
61K
php81-pecl-zstd-0.14.0-r0.apk
2024-11-06 15:44
14K
php81-pgsql-8.1.32-r1.apk
2025-04-03 02:11
43K
php81-phar-8.1.32-r1.apk
2025-04-03 02:11
120K
php81-phpdbg-8.1.32-r1.apk
2025-04-03 02:11
1.8M
php81-posix-8.1.32-r1.apk
2025-04-03 02:11
11K
php81-pspell-8.1.32-r1.apk
2025-04-03 02:11
7.8K
php81-session-8.1.32-r1.apk
2025-04-03 02:11
35K
php81-shmop-8.1.32-r1.apk
2025-04-03 02:11
5.8K
php81-simplexml-8.1.32-r1.apk
2025-04-03 02:11
22K
php81-snmp-8.1.32-r1.apk
2025-04-03 02:11
20K
php81-soap-8.1.32-r1.apk
2025-04-03 02:11
133K
php81-sockets-8.1.32-r1.apk
2025-04-03 02:11
34K
php81-sodium-8.1.32-r1.apk
2025-04-03 02:11
25K
php81-spx-0.4.18-r0.apk
2025-01-05 21:14
106K
php81-sqlite3-8.1.32-r1.apk
2025-04-03 02:11
20K
php81-sysvmsg-8.1.32-r1.apk
2025-04-03 02:11
7.3K
php81-sysvsem-8.1.32-r1.apk
2025-04-03 02:11
5.5K
php81-sysvshm-8.1.32-r1.apk
2025-04-03 02:11
6.4K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 21:02
13K
php81-tidy-8.1.32-r1.apk
2025-04-03 02:11
18K
php81-tokenizer-8.1.32-r1.apk
2025-04-03 02:11
11K
php81-xml-8.1.32-r1.apk
2025-04-03 02:11
18K
php81-xmlreader-8.1.32-r1.apk
2025-04-03 02:11
13K
php81-xmlwriter-8.1.32-r1.apk
2025-04-03 02:11
11K
php81-xsl-8.1.32-r1.apk
2025-04-03 02:11
12K
php81-zip-8.1.32-r1.apk
2025-04-03 02:11
24K
php82-pdlib-1.1.0-r1.apk
2024-10-25 21:02
483K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 21:02
4.3K
php82-pecl-excimer-1.2.3-r0.apk
2024-12-04 02:54
19K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 21:02
40K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:02
10K
php82-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:02
34K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 21:02
29K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 21:02
123K
php82-pecl-vld-0.18.0-r0.apk
2024-10-25 21:02
17K
php82-pecl-zephir_parser-1.7.0-r0.apk
2024-11-24 18:30
61K
php82-snappy-0.2.3-r0.apk
2025-04-10 14:16
5.0K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 21:02
4.3K
php83-pecl-eio-3.1.3-r0.apk
2024-10-25 21:02
28K
php83-pecl-ev-1.2.0-r0.apk
2024-10-25 21:02
40K
php83-pecl-excimer-1.2.3-r0.apk
2024-12-04 02:54
19K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:02
10K
php83-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:02
34K
php83-pecl-phpy-1.0.11-r0.apk
2025-03-11 12:19
40K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 21:02
49K
php83-pecl-vld-0.18.0-r1.apk
2024-10-25 21:02
15K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 21:02
30K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 17:30
9.7K
php84-pecl-ev-1.2.0-r1.apk
2024-10-25 21:02
40K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-24 18:23
13K
php84-pecl-oauth-2.0.9-r0.apk
2024-10-25 21:02
34K
php84-pecl-phpy-1.0.11-r0.apk
2025-03-11 12:19
40K
php84-pecl-solr-2.8.0-r0.apk
2025-01-02 10:42
88K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 13:47
49K
php84-snappy-0.2.3-r0.apk
2025-04-10 14:16
5.0K
phpactor-2024.06.30.0-r0.apk
2024-10-25 21:02
3.8M
pick-4.0.0-r0.apk
2024-10-25 21:02
9.9K
pick-doc-4.0.0-r0.apk
2024-10-25 21:02
3.3K
pict-rs-0.5.16-r1.apk
2024-10-25 21:02
5.9M
pict-rs-openrc-0.5.16-r1.apk
2024-10-25 21:02
1.9K
pidif-0.1-r1.apk
2024-10-25 21:02
159K
piglit-0_git20241106-r0.apk
2024-11-08 10:55
89M
piler-1.4.7-r0.apk
2025-01-16 11:17
2.1M
piler-openrc-1.4.7-r0.apk
2025-01-16 11:17
2.2K
pimd-3.0_git20220201-r0.apk
2024-10-25 21:02
86K
pimd-dense-2.1.0-r0.apk
2024-10-25 21:02
53K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 21:02
20K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 21:02
1.9K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 21:02
35K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 21:02
1.6K
pinentry-bemenu-0.14.0-r0.apk
2025-02-22 15:39
8.1K
pipectl-0.4.1-r1.apk
2024-10-25 21:02
5.9K
pipectl-doc-0.4.1-r1.apk
2024-10-25 21:02
3.0K
piper-phonemize-2023.11.14.4-r7.apk
2025-03-12 02:07
9.0M
piper-phonemize-dev-2023.11.14.4-r7.apk
2025-03-12 02:07
394K
piper-phonemize-libs-2023.11.14.4-r7.apk
2025-03-12 02:07
68K
piper-tts-2023.11.14.2-r11.apk
2025-03-12 02:07
132K
piper-tts-dev-2023.11.14.2-r11.apk
2025-03-12 02:07
141K
piping-server-0.18.0-r0.apk
2024-10-25 21:02
1.5M
piping-server-openrc-0.18.0-r0.apk
2024-10-25 21:02
1.8K
pithos-1.6.1-r0.apk
2024-10-25 21:02
104K
pithos-doc-1.6.1-r0.apk
2024-10-25 21:02
2.1K
pithos-pyc-1.6.1-r0.apk
2024-10-25 21:02
154K
pitivi-2023.03-r2.apk
2024-12-23 04:30
2.7M
pitivi-lang-2023.03-r2.apk
2024-12-23 04:30
678K
pitivi-pyc-2023.03-r2.apk
2024-12-23 04:30
700K
pixi-0.24.2-r0.apk
2024-10-25 21:02
8.8M
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 21:02
7.2K
pixi-doc-0.24.2-r0.apk
2024-10-25 21:02
6.9K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 21:02
10K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 21:02
10K
pixiewps-1.4.2-r1.apk
2024-10-25 21:02
40K
pixiewps-doc-1.4.2-r1.apk
2024-10-25 21:02
3.4K
planarity-4.0.0.0-r0.apk
2025-03-16 11:06
22K
planarity-dev-4.0.0.0-r0.apk
2025-03-16 11:06
23K
planarity-doc-4.0.0.0-r0.apk
2025-03-16 11:06
13K
planarity-libs-4.0.0.0-r0.apk
2025-03-16 11:06
71K
planner-0.14.92-r1.apk
2024-12-08 22:48
345K
planner-doc-0.14.92-r1.apk
2024-12-08 22:48
2.2K
planner-lang-0.14.92-r1.apk
2024-12-08 22:48
825K
platformio-core-6.1.7-r3.apk
2024-10-25 21:02
263K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 21:02
552K
please-0.5.5-r0.apk
2024-10-25 21:02
1.0M
please-build-17.15.1-r1.apk
2025-04-13 23:31
7.5M
please-build-bash-completion-17.15.1-r1.apk
2025-04-13 23:31
1.9K
please-build-tools-17.15.1-r1.apk
2025-04-13 23:31
11M
please-build-zsh-completion-17.15.1-r1.apk
2025-04-13 23:31
1.9K
please-doc-0.5.5-r0.apk
2024-10-25 21:02
16K
plfit-1.0.1-r0.apk
2025-01-04 04:47
47K
plfit-dev-1.0.1-r0.apk
2025-01-04 04:47
6.5K
plfit-libs-1.0.1-r0.apk
2025-01-04 04:47
35K
plfit-static-1.0.1-r0.apk
2025-01-04 04:47
42K
plib-1.8.5-r3.apk
2024-10-25 21:02
849K
plots-0.7.0-r1.apk
2024-11-06 10:41
516K
plplot-5.15.0-r2.apk
2024-10-25 21:02
31K
plplot-dev-5.15.0-r2.apk
2024-10-25 21:02
59K
plplot-doc-5.15.0-r2.apk
2024-10-25 21:02
311K
plplot-libs-5.15.0-r2.apk
2024-10-25 21:02
200K
plzip-1.12-r0.apk
2025-01-15 09:35
46K
plzip-doc-1.12-r0.apk
2025-01-15 09:35
17K
pmccabe-2.8-r1.apk
2024-10-25 21:02
23K
pmccabe-doc-2.8-r1.apk
2024-10-25 21:02
7.1K
pnmixer-0.7.2-r3.apk
2024-10-25 21:02
141K
pnmixer-doc-0.7.2-r3.apk
2024-10-25 21:02
2.3K
pnmixer-lang-0.7.2-r3.apk
2024-10-25 21:02
25K
poke-4.3-r0.apk
2025-03-21 17:21
1.2M
poke-doc-4.3-r0.apk
2025-03-21 17:21
201K
pokoy-0.2.5-r0.apk
2024-10-25 21:02
11K
pokoy-doc-0.2.5-r0.apk
2024-10-25 21:02
3.0K
policycoreutils-3.6-r1.apk
2024-10-25 21:02
54K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 21:02
2.4K
policycoreutils-doc-3.6-r1.apk
2024-10-25 21:02
22K
policycoreutils-lang-3.6-r1.apk
2024-10-25 21:02
105K
polycule-0.2.5-r1.apk
2025-03-21 17:21
28M
polyglot-2.0.4-r1.apk
2024-10-25 21:02
64K
polyglot-doc-2.0.4-r1.apk
2024-10-25 21:02
48K
pomo-0.8.1-r22.apk
2025-04-13 23:31
1.7M
pomo-doc-0.8.1-r22.apk
2025-04-13 23:31
2.7K
pongoos-loader-0_git20210704-r1.apk
2024-10-25 21:02
2.4K
pop-cursor-theme-3.5.1-r0.apk
2025-03-25 08:55
13M
pop-icon-theme-3.5.1-r0.apk
2025-03-25 08:55
1.3M
pop-launcher-1.2.4-r0.apk
2025-03-11 12:19
2.5M
popeye-0.22.1-r3.apk
2025-04-13 23:31
29M
porla-0.41.0-r2.apk
2025-02-24 18:12
3.7M
porla-doc-0.41.0-r2.apk
2025-02-24 18:12
2.2K
porla-openrc-0.41.0-r2.apk
2025-02-24 18:12
2.7K
portsmf-239-r1.apk
2024-10-25 21:02
56K
portsmf-dev-239-r1.apk
2024-10-25 21:02
20K
postgresql-hll-2.18-r0.apk
2024-10-25 21:02
26K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 21:02
56K
postgresql-pg_graphql-1.5.9-r0.apk
2024-12-25 20:00
590K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 21:02
610K
postgresql-pg_partman-5.0.0-r0.apk
2024-10-25 21:02
971K
postgresql-pg_partman-bitcode-5.0.0-r0.apk
2024-10-25 21:02
22K
postgresql-pg_partman-doc-5.0.0-r0.apk
2024-10-25 21:02
47K
postgresql-pg_partman-scripts-5.0.0-r0.apk
2024-10-25 21:02
7.7K
postgresql-pg_variables-1.2.5_git20230922-r0.apk
2024-10-25 21:02
23K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r0.apk
2024-10-25 21:02
53K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 21:02
260K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 21:02
70K
pounce-3.1-r3.apk
2024-10-25 21:02
28K
pounce-doc-3.1-r3.apk
2024-10-25 21:02
8.6K
pounce-openrc-3.1-r3.apk
2024-10-25 21:02
2.9K
powder-toy-97.0.352-r1.apk
2024-10-25 21:02
816K
powerctl-1.1-r6.apk
2025-04-18 18:34
90K
powerctl-doc-1.1-r6.apk
2025-04-18 18:34
3.2K
powerstat-0.04.01-r0.apk
2024-10-25 21:02
20K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 21:02
2.3K
powerstat-doc-0.04.01-r0.apk
2024-10-25 21:02
4.3K
ppl-1.2-r1.apk
2024-10-25 21:02
39K
ppl-dev-1.2-r1.apk
2024-10-25 21:02
607K
ppl-doc-1.2-r1.apk
2024-10-25 21:02
9.1M
pptpclient-1.10.0-r5.apk
2024-10-25 21:02
32K
pptpclient-doc-1.10.0-r5.apk
2024-10-25 21:02
7.2K
pqiv-2.12-r1.apk
2024-10-25 21:02
67K
pqiv-doc-2.12-r1.apk
2024-10-25 21:02
12K
predict-2.3.1-r0.apk
2024-11-24 11:45
100K
predict-doc-2.3.1-r0.apk
2024-11-24 11:45
16K
prettier-3.4.2-r0.apk
2024-12-10 09:58
1.9M
prettier-doc-3.4.2-r0.apk
2024-12-10 09:58
21K
primecount-7.15-r0.apk
2025-03-22 15:42
29K
primecount-dev-7.15-r0.apk
2025-03-22 15:42
2.1M
primecount-doc-7.15-r0.apk
2025-03-22 15:42
3.8K
primecount-libs-7.15-r0.apk
2025-03-22 15:42
139K
primesieve-12.7-r0.apk
2025-03-22 15:42
43K
primesieve-dev-12.7-r0.apk
2025-03-22 15:42
1.3M
primesieve-doc-12.7-r0.apk
2025-03-22 15:42
4.0K
primesieve-libs-12.7-r0.apk
2025-03-22 15:42
117K
prjtrellis-1.4-r2.apk
2024-10-25 21:02
1.2M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 21:02
3.3K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 21:02
2.1M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 21:02
39K
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 21:02
1.0M
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 21:02
1.1M
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 21:02
748K
projectm-3.1.12-r2.apk
2024-10-25 21:02
433K
projectm-dev-3.1.12-r2.apk
2024-10-25 21:02
606K
projectm-presets-3.1.12-r2.apk
2024-10-25 21:02
4.3M
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 21:02
402K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 21:02
2.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 21:02
316K
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 21:02
5.0K
prometheus-bind-exporter-0.8.0-r0.apk
2025-04-15 22:43
5.1M
prometheus-bind-exporter-openrc-0.8.0-r0.apk
2025-04-15 22:43
1.9K
prometheus-ceph-exporter-4.2.5-r3.apk
2025-04-13 23:31
3.7M
prometheus-ceph-exporter-openrc-4.2.5-r3.apk
2025-04-13 23:31
1.9K
prometheus-ipmi-exporter-1.8.0-r4.apk
2025-04-13 23:31
4.5M
prometheus-ipmi-exporter-doc-1.8.0-r4.apk
2025-04-13 23:31
6.6K
prometheus-ipmi-exporter-openrc-1.8.0-r4.apk
2025-04-13 23:31
1.9K
prometheus-opnsense-exporter-0.0.7-r2.apk
2025-04-13 23:31
5.0M
prometheus-opnsense-exporter-openrc-0.0.7-r2.apk
2025-04-13 23:31
2.1K
prometheus-podman-exporter-1.15.0-r2.apk
2025-04-13 23:31
16M
prometheus-rethinkdb-exporter-1.0.1-r27.apk
2025-04-13 23:31
4.3M
prometheus-rethinkdb-exporter-openrc-1.0.1-r27.apk
2025-04-13 23:31
1.7K
prometheus-smartctl-exporter-0.13.0-r4.apk
2025-04-13 23:31
4.8M
prometheus-smartctl-exporter-openrc-0.13.0-r4.apk
2025-04-13 23:31
1.9K
prometheus-smokeping-prober-0.7.1-r11.apk
2025-04-13 23:31
4.7M
prometheus-smokeping-prober-openrc-0.7.1-r11.apk
2025-04-13 23:31
2.0K
prometheus-unbound-exporter-0.4.6-r4.apk
2025-04-13 23:31
3.8M
prometheus-unbound-exporter-openrc-0.4.6-r4.apk
2025-04-13 23:31
2.0K
proot-5.4.0-r1.apk
2024-10-25 21:02
75K
proot-doc-5.4.0-r1.apk
2024-10-25 21:02
10K
proot-static-5.4.0-r1.apk
2024-10-25 21:02
111K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.8K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.6K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 21:02
3.1K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.8K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.0K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 21:02
7.2K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 21:02
3.3K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.8K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.9K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.0K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.8K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.2K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 21:02
5.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 21:02
5.6K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 21:02
6.9K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 21:02
3.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 21:02
104K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.7K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.0K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.8K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 21:02
8.6K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.0K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.0K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.7K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 21:02
2.6K
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 21:02
1.5K
protoc-gen-go-1.36.5-r1.apk
2025-04-13 23:31
2.3M
protoc-gen-js-3.21.4-r1.apk
2024-10-25 21:02
1.5M
protoconf-0.1.7-r12.apk
2025-04-13 23:31
7.9M
prowlarr-1.33.3.5008-r0.apk
2025-04-16 00:37
19M
prowlarr-openrc-1.33.3.5008-r0.apk
2025-04-16 00:37
2.0K
psftools-1.1.2-r0.apk
2024-10-25 21:02
190K
psftools-dev-1.1.2-r0.apk
2024-10-25 21:02
78K
psftools-doc-1.1.2-r0.apk
2024-10-25 21:02
60K
psi-notify-1.3.1-r0.apk
2024-10-25 21:02
11K
psi-plus-1.5.1965-r0.apk
2024-10-25 21:02
8.6M
psi-plus-plugins-1.5.1965-r0.apk
2024-10-25 21:02
1.7M
pspp-2.0.1-r0.apk
2024-10-25 21:02
9.9M
pspp-dbg-2.0.1-r0.apk
2024-10-25 21:02
4.3M
pspp-doc-2.0.1-r0.apk
2024-10-25 21:02
9.0K
psst-0_git20240526-r1.apk
2024-10-25 21:02
7.7M
ptcpdump-0.30.0-r4.apk
2025-04-13 23:31
11M
ptpd-2.3.1-r1.apk
2024-10-25 21:02
172K
ptpd-doc-2.3.1-r1.apk
2024-10-25 21:02
20K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 21:02
2.4K
ptylie-0.2-r1.apk
2024-10-25 21:02
11K
ptylie-doc-0.2-r1.apk
2024-10-25 21:02
3.2K
ptyxis-48.1-r0.apk
2025-03-21 17:21
276K
ptyxis-doc-48.1-r0.apk
2025-03-21 17:21
2.9K
ptyxis-lang-48.1-r0.apk
2025-03-21 17:21
299K
pully-1.0.0-r0.apk
2024-10-25 21:02
2.5K
pully-openrc-1.0.0-r0.apk
2024-10-25 21:02
1.7K
pulsar-client-cpp-3.1.2-r5.apk
2025-04-11 19:44
1.3M
pulsar-client-cpp-dev-3.1.2-r5.apk
2025-04-11 19:44
53K
pulseview-0.4.2-r8.apk
2024-10-25 21:02
942K
pulseview-doc-0.4.2-r8.apk
2024-10-25 21:02
3.7K
pulumi-watch-0.1.5-r2.apk
2024-10-25 21:02
815K
pure-data-0.54.1-r0.apk
2024-10-25 21:02
1.7M
pure-data-dev-0.54.1-r0.apk
2024-10-25 21:02
50K
pure-data-doc-0.54.1-r0.apk
2024-10-25 21:02
1.9M
pure-data-libs-0.54.1-r0.apk
2024-10-25 21:02
621K
purple-facebook-0.9.6-r0.apk
2024-10-25 21:02
78K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 21:02
227K
pw-volume-0.5.0-r1.apk
2024-10-25 21:02
322K
pwauth-2.3.11-r2.apk
2024-10-25 21:02
3.8K
pwauth-doc-2.3.11-r2.apk
2024-10-25 21:02
6.8K
pwru-1.0.7-r4.apk
2025-04-13 23:31
3.4M
pxalarm-3.0.0-r0.apk
2024-10-25 21:02
2.9K
pxmenu-1.0.0-r1.apk
2024-10-25 21:02
2.9K
py-spy-0.3.14-r3.apk
2024-10-25 21:02
1.0M
py-spy-bash-completion-0.3.14-r3.apk
2024-10-25 21:02
2.4K
py-spy-doc-0.3.14-r3.apk
2024-10-25 21:02
2.3K
py-spy-fish-completion-0.3.14-r3.apk
2024-10-25 21:02
2.6K
py-spy-zsh-completion-0.3.14-r3.apk
2024-10-25 21:02
3.1K
py3-actdiag-3.0.0-r5.apk
2024-10-25 21:02
17K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 21:02
21K
py3-aesedb-0.1.6-r2.apk
2024-10-25 21:02
37K
py3-aesedb-pyc-0.1.6-r2.apk
2024-10-25 21:02
76K
py3-agithub-2.2.2-r7.apk
2025-03-21 17:21
19K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-21 17:21
21K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 21:02
29K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 21:02
60K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 21:02
446K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 21:02
51K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 21:02
12K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 21:02
9.2K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 13:28
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 13:28
19K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 21:02
10K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 21:02
15K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 21:02
21K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 21:02
19K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 21:02
30K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 21:02
16K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 21:02
24K
py3-aiosmb-0.4.11-r0.apk
2024-10-25 21:02
605K
py3-aiosmb-pyc-0.4.11-r0.apk
2024-10-25 21:02
1.1M
py3-aiowinreg-0.0.12-r0.apk
2024-10-25 21:02
23K
py3-aiowinreg-pyc-0.0.12-r0.apk
2024-10-25 21:02
45K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 21:02
388K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 21:02
18K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 21:02
673K
py3-allfiles-1.0-r8.apk
2024-10-25 21:02
3.6K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 21:02
3.3K
py3-altgraph-0.17.4-r1.apk
2024-10-25 21:02
21K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 21:02
29K
py3-ansi2html-1.9.2-r0.apk
2024-10-25 21:02
18K
py3-ansi2html-pyc-1.9.2-r0.apk
2024-10-25 21:02
22K
py3-anyascii-0.3.2-r1.apk
2024-10-25 21:02
275K
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 21:02
3.3K
py3-apicula-0.11.1-r1.apk
2024-10-25 21:02
8.5M
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 21:02
179K
py3-apio-0.9.5-r0.apk
2024-10-25 21:02
72K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 21:02
77K
py3-apk3-3.0.0_rc4_git20250320-r0.apk
2025-03-24 15:48
3.9K
py3-apsw-3.49.1.0-r0.apk
2025-02-25 07:38
810K
py3-apsw-pyc-3.49.1.0-r0.apk
2025-02-25 07:38
526K
py3-arcus-5.3.0-r2.apk
2025-04-11 19:44
85K
py3-asif-0.3.2-r3.apk
2024-10-25 21:02
13K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 21:02
26K
py3-ask-0.0.8-r8.apk
2024-10-25 21:02
5.0K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 21:02
4.5K
py3-astral-3.2-r3.apk
2024-10-25 21:02
37K
py3-astral-pyc-3.2-r3.apk
2024-10-25 21:02
59K
py3-asyauth-0.0.21-r0.apk
2024-10-25 21:02
79K
py3-asyauth-pyc-0.0.21-r0.apk
2024-10-25 21:02
171K
py3-async-lru-2.0.5-r0.apk
2025-03-21 17:21
7.0K
py3-async-lru-pyc-2.0.5-r0.apk
2025-03-21 17:21
8.5K
py3-asysocks-0.2.13-r0.apk
2024-10-25 21:02
87K
py3-asysocks-pyc-0.2.13-r0.apk
2024-10-25 21:02
232K
py3-avro-1.11.3-r1.apk
2024-10-25 21:02
98K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 21:02
191K
py3-b2sdk-2.8.0-r0.apk
2025-01-27 00:27
215K
py3-b2sdk-pyc-2.8.0-r0.apk
2025-01-27 00:27
403K
py3-banal-1.0.6-r4.apk
2024-10-25 21:02
6.9K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 21:02
7.2K
py3-bandwidth-sdk-3.1.0-r8.apk
2024-10-25 21:02
46K
py3-bandwidth-sdk-pyc-3.1.0-r8.apk
2024-10-25 21:02
69K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 21:02
16K
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 21:02
4.3K
py3-base58-2.1.1-r2.apk
2024-10-25 21:02
11K
py3-beartype-0.20.1-r0.apk
2025-03-21 20:32
907K
py3-beartype-pyc-0.20.1-r0.apk
2025-03-21 20:32
657K
py3-bencode-4.0.0-r1.apk
2024-10-25 21:02
17K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 21:02
10K
py3-bibtexparser-1.4.3-r0.apk
2025-01-19 15:15
40K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-19 15:15
49K
py3-bidict-0.23.1-r1.apk
2024-10-25 21:02
28K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 21:02
29K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 22:51
14K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 22:51
23K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 21:02
34K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 21:02
13K
py3-bleak-0.22.3-r0.apk
2024-10-25 21:02
370K
py3-blockchain-1.4.4-r7.apk
2024-10-25 21:02
11K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 21:02
18K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 18:03
68K
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 18:03
149K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 18:03
2.5M
py3-bookkeeper-4.17.1-r0.apk
2024-10-25 21:02
43K
py3-bookkeeper-pyc-4.17.1-r0.apk
2024-10-25 21:02
67K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 21:02
4.9K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 21:02
5.2K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 21:02
4.3K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 21:02
3.3K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 21:02
3.1K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 21:02
4.0K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 21:02
3.7K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 21:02
3.2K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 21:02
2.6K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 21:02
6.1K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 21:02
5.2K
py3-bottle-session-1.0-r6.apk
2024-10-25 21:02
10K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 21:02
7.8K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 21:02
4.9K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 21:02
5.6K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 21:02
4.7K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 21:02
5.2K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 21:02
4.6K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 21:02
3.1K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 21:02
4.1K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 21:02
4.3K
py3-bson-0.5.10-r6.apk
2024-10-25 21:02
12K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 21:02
19K
py3-businesstime-0.3.0-r9.apk
2024-10-25 21:02
11K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 21:02
16K
py3-c3d-0.5.2-r1.apk
2024-10-25 21:02
32K
py3-c3d-pyc-0.5.2-r1.apk
2024-10-25 21:02
54K
py3-caldav-1.4.0-r0.apk
2024-11-09 21:42
68K
py3-caldav-pyc-1.4.0-r0.apk
2024-11-09 21:42
90K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 21:02
286K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 21:02
560K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 21:02
57K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 21:02
103K
py3-cchardet-2.1.7-r5.apk
2024-10-25 21:02
122K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 21:02
3.1K
py3-cdio-2.1.1-r6.apk
2025-01-20 22:15
98K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-20 22:15
43K
py3-certauth-1.3.0-r1.apk
2024-10-25 21:02
8.7K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 21:02
9.1K
py3-chameleon-4.5.4-r0.apk
2024-10-25 21:02
97K
py3-chameleon-pyc-4.5.4-r0.apk
2024-10-25 21:02
131K
py3-ciso8601-2.3.1-r1.apk
2024-10-25 21:02
15K
py3-cjkwrap-2.2-r4.apk
2024-10-25 21:02
4.6K
py3-cjkwrap-pyc-2.2-r4.apk
2024-10-25 21:02
5.2K
py3-clang-next-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
33K
py3-clang-next-pyc-21.0.0_pre20250421-r0.apk
2025-04-22 11:42
58K
py3-class-doc-1.25-r1.apk
2024-10-25 21:02
6.1K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 21:02
8.7K
py3-click-completion-0.5.2-r1.apk
2024-10-25 21:02
11K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 21:02
14K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 21:02
5.1K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 21:02
4.5K
py3-click-threading-0.5.0-r5.apk
2024-10-25 21:02
6.3K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 21:02
7.9K
py3-clickclick-20.10.2-r4.apk
2024-10-25 21:02
8.0K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 21:02
9.8K
py3-cmd2-2.4.3-r2.apk
2024-10-25 21:02
139K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 21:02
223K
py3-cobs-1.2.0-r4.apk
2024-10-25 21:02
19K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 21:02
12K
py3-colander-2.0-r2.apk
2024-10-25 21:02
62K
py3-colander-pyc-2.0-r2.apk
2024-10-25 21:02
42K
py3-colorthief-0.2.1-r1.apk
2024-10-25 21:02
7.3K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 21:02
10K
py3-columnize-0.3.11-r4.apk
2024-10-25 21:02
8.5K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 21:02
7.5K
py3-compdb-0.2.0-r8.apk
2024-10-25 21:02
23K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 21:02
3.0K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 21:02
40K
py3-confluent-kafka-1.8.2-r5.apk
2024-10-25 21:02
98K
py3-confluent-kafka-pyc-1.8.2-r5.apk
2024-10-25 21:02
77K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 21:02
35K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 21:02
3.7K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 21:02
47K
py3-coreapi-2.3.3-r9.apk
2024-10-25 21:02
22K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 21:02
43K
py3-crc16-0.1.1-r10.apk
2024-10-25 21:02
12K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 21:02
4.7K
py3-createrepo_c-1.1.4-r0.apk
2024-10-25 21:02
41K
py3-createrepo_c-pyc-1.1.4-r0.apk
2024-10-25 21:02
15K
py3-criu-3.19-r1.apk
2024-10-25 21:02
52K
py3-criu-pyc-3.19-r1.apk
2024-10-25 21:02
73K
py3-cssutils-2.11.1-r1.apk
2024-10-25 21:02
155K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 21:02
279K
py3-cstruct-5.3-r1.apk
2024-10-25 21:02
22K
py3-cstruct-pyc-5.3-r1.apk
2024-10-25 21:02
36K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 21:13
8.7K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 21:13
10K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 21:02
670K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 21:02
936K
py3-cython-test-exception-raiser-1.0.2-r0.apk
2024-10-25 21:02
17K
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk
2024-10-25 21:02
1.8K
py3-dash-2.18.2-r0.apk
2025-04-13 23:31
7.4M
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 23:31
16K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 21:02
27K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 21:02
36K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 21:02
11K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 21:02
14K
py3-dateparser-1.2.0-r0.apk
2024-11-23 05:26
197K
py3-dateparser-pyc-1.2.0-r0.apk
2024-11-23 05:26
334K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 21:02
4.4K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 21:02
4.2K
py3-dbus-fast-2.33.0-r0.apk
2025-04-14 00:10
624K
py3-dbus-fast-doc-2.33.0-r0.apk
2025-04-14 00:10
6.3K
py3-dbus-fast-pyc-2.33.0-r0.apk
2025-04-14 00:10
128K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 21:02
13K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 21:02
2.3K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 21:02
20K
py3-dep-logic-0.5.0-r0.apk
2025-04-18 12:39
29K
py3-dep-logic-pyc-0.5.0-r0.apk
2025-04-18 12:39
57K
py3-dexml-0.5.1-r9.apk
2024-10-25 21:02
22K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 21:02
37K
py3-discid-1.2.0-r6.apk
2024-10-25 21:02
24K
py3-discid-pyc-1.2.0-r6.apk
2024-10-25 21:02
13K
py3-distorm3-3.5.2-r6.apk
2024-10-25 21:02
46K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 21:02
49K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 21:02
15K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 21:02
15K
py3-django-suit-0.2.28-r8.apk
2024-10-25 21:02
366K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 21:02
32K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 21:02
4.0K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 21:02
5.0K
py3-dnslib-0.9.25-r0.apk
2024-10-25 21:02
52K
py3-dnslib-pyc-0.9.25-r0.apk
2024-10-25 21:02
109K
py3-dogpile.cache-1.3.3-r0.apk
2024-10-25 21:02
53K
py3-dogpile.cache-pyc-1.3.3-r0.apk
2024-10-25 21:02
90K
py3-doi-0.2-r0.apk
2025-04-13 23:31
6.2K
py3-doi-pyc-0.2-r0.apk
2025-04-13 23:31
4.7K
py3-doit-0.36.0-r5.apk
2024-10-25 21:02
77K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 21:02
133K
py3-dominate-2.9.1-r1.apk
2024-10-25 21:02
25K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 21:02
34K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 21:02
8.4K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 21:02
8.7K
py3-downloader-cli-0.3.4-r1.apk
2024-10-25 21:02
12K
py3-downloader-cli-pyc-0.3.4-r1.apk
2024-10-25 21:02
14K
py3-dpath-2.2.0-r0.apk
2024-10-25 21:02
17K
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 21:02
18K
py3-drf-yasg-1.21.7-r2.apk
2024-10-25 21:02
4.1M
py3-drf-yasg-pyc-1.21.7-r2.apk
2024-10-25 21:02
97K
py3-dt-schema-2025.02-r0.apk
2025-03-02 16:40
80K
py3-dt-schema-pyc-2025.02-r0.apk
2025-03-02 16:40
51K
py3-dunamai-1.23.1-r0.apk
2025-04-18 12:39
26K
py3-dunamai-pyc-1.23.1-r0.apk
2025-04-18 12:39
43K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 21:02
221K
py3-dweepy-0.3.0-r7.apk
2024-10-25 21:02
9.1K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 21:02
6.3K
py3-ecbdata-0.1.1-r0.apk
2025-04-14 01:31
13K
py3-ecos-2.0.11-r4.apk
2024-10-25 21:02
27K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 21:02
3.6K
py3-edalize-0.5.4-r0.apk
2024-10-25 21:02
123K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 21:02
190K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 21:02
13K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 21:02
2.0K
py3-empy-3.3.4-r7.apk
2024-10-25 21:02
39K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 21:02
58K
py3-enzyme-0.5.1-r0.apk
2024-10-25 21:02
23K
py3-enzyme-pyc-0.5.1-r0.apk
2024-10-25 21:02
19K
py3-eradicate-2.3.0-r2.apk
2024-10-25 21:02
7.6K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 21:02
2.5K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 21:02
8.3K
py3-euclid3-0.01-r8.apk
2024-10-25 21:02
14K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 21:02
33K
py3-eventlet-0.38.1-r0.apk
2024-12-12 05:50
332K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-12 05:50
336K
py3-evohome-client-0.3.7-r4.apk
2024-10-25 21:02
19K
py3-evohome-client-pyc-0.3.7-r4.apk
2024-10-25 21:02
27K
py3-fastavro-1.10.0-r0.apk
2024-12-21 11:25
421K
py3-fastavro-pyc-1.10.0-r0.apk
2024-12-21 11:25
82K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 21:02
38K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 21:02
4.2K
py3-feedgen-1.0.0-r1.apk
2024-10-25 21:02
40K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 21:02
62K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 21:02
18K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 21:02
27K
py3-ffmpeg-0.2.0-r4.apk
2024-10-25 21:02
24K
py3-ffmpeg-pyc-0.2.0-r4.apk
2024-10-25 21:02
33K
py3-findpython-0.6.3-r0.apk
2025-04-09 04:09
17K
py3-findpython-pyc-0.6.3-r0.apk
2025-04-09 04:09
30K
py3-firmata-1.0.3-r10.apk
2024-10-25 21:02
14K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 21:02
21K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 21:02
5.2K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 21:02
2.6K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 22:51
13K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 22:51
7.9K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 21:02
18K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 21:02
3.3K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 21:02
6.2K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 21:02
6.0K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 21:02
15K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 21:02
17K
py3-flake8-isort-6.1.1-r1.apk
2024-10-25 21:02
18K
py3-flake8-isort-pyc-6.1.1-r1.apk
2024-10-25 21:02
5.4K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 21:02
7.1K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 21:02
5.7K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 21:02
6.7K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 21:02
4.4K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 21:02
5.3K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 21:02
3.7K
py3-flake8-todo-0.7-r7.apk
2024-10-25 21:02
3.6K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 21:02
2.2K
py3-flask-accept-0.0.6-r1.apk
2024-10-25 21:02
5.0K
py3-flask-accept-pyc-0.0.6-r1.apk
2024-10-25 21:02
3.7K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 21:02
6.5M
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 21:02
358K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 21:02
5.1K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 21:02
4.9K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 21:02
5.3K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 21:02
4.1K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 21:02
7.1K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 21:02
5.8K
py3-flask-bootstrap-3.3.7.1-r8.apk
2024-10-25 21:02
450K
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk
2024-10-25 21:02
11K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 21:02
13K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 21:02
18K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 21:02
4.8K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 21:02
4.1K
py3-flask-components-0.1.1-r9.apk
2024-10-25 21:02
3.9K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 21:02
3.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 21:02
86K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 21:02
6.3K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-07 00:00
11K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-07 00:00
14K
py3-flask-gzip-0.2-r8.apk
2024-10-25 21:02
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 21:02
2.8K
py3-flask-headers-1.0-r9.apk
2024-10-25 21:02
3.2K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 21:02
2.5K
py3-flask-httpauth-4.8.0-r2.apk
2024-10-25 21:02
8.0K
py3-flask-httpauth-pyc-4.8.0-r2.apk
2024-10-25 21:02
11K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 21:02
4.1K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 21:02
3.4K
py3-flask-limiter-3.10.1-r0.apk
2025-01-19 17:39
27K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-19 17:39
47K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 21:02
5.6K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 21:02
7.9K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 21:02
16K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 21:02
26K
py3-flask-markdown-0.3-r8.apk
2024-10-25 21:02
5.6K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 21:02
3.9K
py3-flask-migrate-4.0.7-r0.apk
2024-10-25 21:02
13K
py3-flask-migrate-pyc-4.0.7-r0.apk
2024-10-25 21:02
18K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 21:02
8.2K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 21:02
11K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 21:02
172K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 21:02
95K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 08:50
18K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 08:50
6.2K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 21:02
115K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 21:02
20K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 21:02
40K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 21:02
59K
py3-flask-security-5.6.1-r0.apk
2025-04-21 23:40
295K
py3-flask-security-pyc-5.6.1-r0.apk
2025-04-21 23:40
227K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 21:02
7.9K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 21:02
7.0K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 21:02
9.0K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 21:02
9.7K
py3-fpdf-1.7.2-r5.apk
2024-10-25 21:02
40K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 21:02
89K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 21:02
161K
py3-funcparserlib-1.0.1-r4.apk
2024-10-25 21:02
17K
py3-funcparserlib-pyc-1.0.1-r4.apk
2024-10-25 21:02
19K
py3-furl-2.1.3-r4.apk
2024-10-25 21:02
21K
py3-furl-pyc-2.1.3-r4.apk
2024-10-25 21:02
32K
py3-gdcm-3.0.24-r0.apk
2024-10-25 21:02
661K
py3-geoip-1.3.2-r4.apk
2024-10-25 21:02
22K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 21:02
20K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 21:02
30K
py3-git-versioner-7.1-r1.apk
2024-10-25 21:02
12K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 21:02
14K
py3-github3-4.0.1-r1.apk
2024-10-25 21:02
128K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 21:02
227K
py3-glob2-0.7-r6.apk
2024-10-25 21:02
10K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 21:02
13K
py3-gls-1.3.1-r1.apk
2024-10-25 21:02
47K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 21:02
84K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 21:02
9.2K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 21:02
11K
py3-googletrans-3.0.0-r5.apk
2024-10-25 21:02
15K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 21:02
17K
py3-grequests-0.7.0-r2.apk
2024-10-25 21:02
6.8K
py3-grequests-pyc-0.7.0-r2.apk
2024-10-25 21:02
5.9K
py3-gtkspellcheck-5.0.3-r0.apk
2024-12-07 21:33
45K
py3-gtkspellcheck-pyc-5.0.3-r0.apk
2024-12-07 21:33
30K
py3-halo-0.0.31-r5.apk
2024-10-25 21:02
11K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 21:02
14K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 21:02
25K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 21:02
24K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 21:02
13K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 21:02
13K
py3-helper-2.5.0-r5.apk
2024-10-25 21:02
19K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 21:02
28K
py3-hfst-3.16.2-r0.apk
2025-04-03 02:11
358K
py3-hg-git-1.1.1-r1.apk
2024-10-25 21:02
70K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 21:02
107K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 21:24
314K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 21:24
12K
py3-hishel-0.1.2-r0.apk
2025-04-09 04:09
33K
py3-hishel-pyc-0.1.2-r0.apk
2025-04-09 04:09
73K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 21:02
162K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 21:02
22K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 21:02
4.6K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 21:02
3.3K
py3-igraph-0.11.8-r0.apk
2024-11-24 11:45
403K
py3-igraph-dev-0.11.8-r0.apk
2024-11-24 11:45
2.5K
py3-igraph-pyc-0.11.8-r0.apk
2024-11-24 11:45
371K
py3-imageio-2.35.1-r0.apk
2024-10-25 21:02
287K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 21:02
16K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 21:02
20K
py3-imageio-pyc-2.35.1-r0.apk
2024-10-25 21:02
504K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 21:02
229K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 21:02
243K
py3-incoming-0.3.1-r8.apk
2024-10-25 21:02
13K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 21:02
20K
py3-infinity-1.5-r6.apk
2024-10-25 21:02
4.4K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 21:02
3.7K
py3-iniparse-0.5-r7.apk
2024-10-25 21:02
19K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 21:02
10K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 21:02
25K
py3-intervals-0.9.2-r5.apk
2024-10-25 21:02
9.4K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 21:02
15K
py3-ioctl-opt-1.3-r0.apk
2025-01-29 17:00
12K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-29 17:00
4.6K
py3-irc-20.4.1-r0.apk
2024-10-25 21:02
41K
py3-irc-pyc-20.4.1-r0.apk
2024-10-25 21:02
71K
py3-isbnlib-3.10.14-r0.apk
2025-01-19 15:15
43K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-19 15:15
67K
py3-iso639-lang-2.2.3-r0.apk
2024-10-25 21:02
269K
py3-iso639-lang-pyc-2.2.3-r0.apk
2024-10-25 21:02
9.7K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 21:42
11K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 21:42
13K
py3-itemloaders-1.3.2-r0.apk
2024-10-25 21:02
13K
py3-itemloaders-pyc-1.3.2-r0.apk
2024-10-25 21:02
17K
py3-iterable-io-1.0.0-r0.apk
2024-10-25 21:02
6.0K
py3-iterable-io-pyc-1.0.0-r0.apk
2024-10-25 21:02
5.3K
py3-itunespy-1.6-r4.apk
2024-10-25 21:02
10K
py3-itunespy-pyc-1.6-r4.apk
2024-10-25 21:02
15K
py3-janus-1.2.0-r0.apk
2024-12-13 05:57
12K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 05:57
13K
py3-jaraco.logging-3.3.0-r0.apk
2024-10-25 21:02
6.0K
py3-jaraco.logging-pyc-3.3.0-r0.apk
2024-10-25 21:02
5.8K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 21:02
7.6K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 21:02
9.5K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 23:59
6.7K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 23:59
8.1K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-11 12:19
10K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-11 12:19
16K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 21:02
5.9K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 21:02
6.1K
py3-json5-0.9.25-r0.apk
2024-11-30 22:06
25K
py3-json5-pyc-0.9.25-r0.apk
2024-11-30 22:06
29K
py3-jsonschema417-4.17.3-r1.apk
2024-10-25 21:02
73K
py3-jsonschema417-pyc-4.17.3-r1.apk
2024-10-25 21:02
125K
py3-junit-xml-1.9-r3.apk
2024-10-25 21:02
8.3K
py3-junit-xml-pyc-1.9-r3.apk
2024-10-25 21:02
9.3K
py3-jupyterlab-4.3.5-r0.apk
2025-03-21 17:21
11M
py3-jupyterlab3-3.6.7-r0.apk
2025-03-21 17:21
14M
py3-jupyterlab_server-2.27.3-r0.apk
2025-03-21 17:21
124K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 21:02
125K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 21:02
245K
py3-keepalive-0.5-r5.apk
2024-10-25 21:02
9.0K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 21:02
2.0K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 21:02
13K
py3-kerberos-1.3.1-r5.apk
2024-10-25 21:02
17K
py3-kikit-1.6.0-r1.apk
2025-03-21 17:21
238K
py3-kikit-pyc-1.6.0-r1.apk
2025-03-21 17:21
273K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 21:02
8.4K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 21:02
9.5K
py3-langcodes-3.3.0-r2.apk
2024-10-25 21:02
174K
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 21:02
110K
py3-language-data-1.3.0-r0.apk
2024-12-01 21:08
5.0M
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 21:08
3.0M
py3-latex2mathml-3.77.0-r1.apk
2024-10-25 21:02
72K
py3-latex2mathml-pyc-3.77.0-r1.apk
2024-10-25 21:02
35K
py3-lib_users-0.15-r4.apk
2024-10-25 21:02
16K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 21:02
9.5K
py3-libacl-0.7.0-r2.apk
2024-10-25 21:02
25K
py3-libguestfs-1.52.0-r1.apk
2024-10-25 21:02
176K
py3-libiio-0.25-r2.apk
2024-10-25 21:02
13K
py3-liblarch-3.2.0-r6.apk
2024-12-08 22:48
30K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 22:48
50K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 21:02
28K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 21:02
33K
py3-libnacl-2.1.0-r1.apk
2024-10-25 21:02
20K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 21:02
30K
py3-libpyshell-0.4.1-r0.apk
2025-04-14 00:16
12K
py3-libpyshell-pyc-0.4.1-r0.apk
2025-04-14 00:16
18K
py3-librtmp-0.3.0-r6.apk
2024-10-25 21:02
34K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 21:02
25K
py3-limits-3.14.1-r0.apk
2024-12-25 20:00
33K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 20:00
71K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 21:02
21K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 21:02
23K
py3-linux-procfs-0.7.3-r0.apk
2025-01-13 22:19
14K
py3-linux-procfs-pyc-0.7.3-r0.apk
2025-01-13 22:19
22K
py3-litedram-2024.04-r0.apk
2024-10-25 21:02
176K
py3-liteeth-2024.04-r0.apk
2024-10-25 21:02
103K
py3-liteiclink-2024.04-r0.apk
2024-10-25 21:02
82K
py3-litejesd204b-2024.04-r0.apk
2024-10-25 21:02
13K
py3-litepcie-2024.04-r0.apk
2024-10-25 21:02
108K
py3-litesata-2024.04-r0.apk
2024-10-25 21:02
62K
py3-litescope-2024.04-r0.apk
2024-10-25 21:02
16K
py3-litesdcard-2024.04-r0.apk
2024-10-25 21:02
17K
py3-litespi-2024.04-r0.apk
2024-10-25 21:02
32K
py3-litex-2024.04-r0.apk
2024-10-25 21:02
1.3M
py3-litex-boards-2024.04-r0.apk
2024-10-25 21:02
390K
py3-litex-full-2024.04-r0.apk
2024-10-25 21:02
1.6K
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 21:02
1.6K
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 21:02
1.1M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 21:02
5.6M
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 21:02
1.9M
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 21:02
934K
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 21:02
500K
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 21:02
10M
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 21:02
1.8M
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 21:02
112K
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 21:02
208K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 21:02
19M
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 21:02
45K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 21:02
221K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 21:02
7.6K
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 21:02
230K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 21:02
57M
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 21:02
675K
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 21:02
713K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 21:02
2.4M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 21:02
58K
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 21:02
2.2M
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 21:02
4.7M
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 21:02
112K
py3-litex-pyc-2024.04-r0.apk
2024-10-25 21:02
2.6M
py3-livestream-2.1.0-r0.apk
2024-11-25 23:22
766K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 23:22
30K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 21:02
4.2K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 21:02
3.1K
py3-logfury-1.0.1-r0.apk
2024-10-25 21:02
7.9K
py3-logfury-doc-1.0.1-r0.apk
2024-10-25 21:02
2.4K
py3-logfury-pyc-1.0.1-r0.apk
2024-10-25 21:02
7.1K
py3-logtop-0.7-r0.apk
2024-10-25 21:02
20K
py3-logtop-pyc-0.7-r0.apk
2024-10-25 21:02
4.1K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 21:02
7.5K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 21:02
6.4K
py3-lsp-mypy-0.7.0-r0.apk
2025-02-22 15:39
13K
py3-lsp-mypy-pyc-0.7.0-r0.apk
2025-02-22 15:39
13K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 21:02
69K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 21:02
107K
py3-luhn-0.2.0-r9.apk
2024-10-25 21:02
4.0K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 21:02
2.6K
py3-lunr-0.6.2-r4.apk
2024-10-25 21:02
32K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 21:02
51K
py3-ly-0.9.8-r1.apk
2024-10-25 21:02
187K
py3-ly-doc-0.9.8-r1.apk
2024-10-25 21:02
8.1K
py3-ly-pyc-0.9.8-r1.apk
2024-10-25 21:02
355K
py3-lzo-1.16-r1.apk
2024-10-25 21:02
16K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 21:02
2.0K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 21:02
190K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 21:02
123K
py3-mando-0.7.1-r3.apk
2024-10-25 21:02
22K
py3-mando-doc-0.7.1-r3.apk
2024-10-25 21:02
4.2K
py3-mando-pyc-0.7.1-r3.apk
2024-10-25 21:02
36K
py3-manuel-1.13.0-r0.apk
2024-11-30 21:15
39K
py3-manuel-pyc-1.13.0-r0.apk
2024-11-30 21:15
26K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 21:02
59K
py3-marisa-trie-1.2.1-r0.apk
2024-11-11 14:12
137K
py3-markdown2-2.5.0-r0.apk
2024-10-25 21:02
47K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 21:02
75K
py3-markdownify-1.1.0-r0.apk
2025-04-18 07:47
15K
py3-markdownify-pyc-1.1.0-r0.apk
2025-04-18 07:47
17K
py3-marshmallow-3.26.1-r0.apk
2025-02-22 15:39
48K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 21:02
5.3K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 21:02
4.5K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-22 15:39
85K
py3-mbedtls-2.10.1-r2.apk
2024-10-25 21:02
893K
py3-mbedtls-pyc-2.10.1-r2.apk
2024-10-25 21:02
27K
py3-meshtastic-2.6.0-r1.apk
2025-04-15 00:02
507K
py3-migen-0.9.2-r2.apk
2024-10-25 21:02
143K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 21:02
296K
py3-milc-1.9.1-r0.apk
2025-01-25 17:04
26K
py3-milc-pyc-1.9.1-r0.apk
2025-01-25 17:04
41K
py3-minidb-2.0.8-r0.apk
2024-11-13 21:04
10K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 21:04
23K
py3-minidump-0.0.24-r0.apk
2024-10-25 21:02
65K
py3-minidump-pyc-0.0.24-r0.apk
2024-10-25 21:02
130K
py3-minikerberos-0.4.4-r1.apk
2024-10-25 21:02
129K
py3-minikerberos-pyc-0.4.4-r1.apk
2024-10-25 21:02
264K
py3-minio-7.2.13-r0.apk
2024-12-25 20:00
76K
py3-minio-pyc-7.2.13-r0.apk
2024-12-25 20:00
160K
py3-mistletoe-1.4.0-r0.apk
2025-03-21 17:21
45K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-21 17:21
93K
py3-mnemonic-0.21-r0.apk
2024-10-25 21:02
95K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 21:02
2.4K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 21:02
9.7K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 21:02
25K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 21:02
48K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 21:02
25K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 21:02
37K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 23:24
28K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 23:24
34K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 21:02
46K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 21:02
74K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2024-10-25 21:02
24K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2024-10-25 21:02
39K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 21:02
25K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 21:02
36K
py3-more-properties-1.1.1-r3.apk
2024-10-25 21:02
7.4K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 21:02
8.2K
py3-moviepy-1.0.3-r6.apk
2024-10-25 21:02
94K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 21:02
156K
py3-msldap-0.5.14-r0.apk
2025-02-24 21:52
141K
py3-msldap-pyc-0.5.14-r0.apk
2025-02-24 21:52
324K
py3-mss-10.0.0-r0.apk
2024-11-14 14:11
51K
py3-natpmp-1.3.2-r1.apk
2024-10-25 21:02
9.4K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 21:02
9.9K
py3-ncclient-0.6.13-r5.apk
2024-10-25 21:02
68K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 21:02
107K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 21:02
189K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 21:02
9.2K
py3-netmiko-4.5.0-r0.apk
2025-02-22 15:39
179K
py3-netmiko-pyc-4.5.0-r0.apk
2025-02-22 15:39
348K
py3-nikola-8.3.1-r0.apk
2024-10-25 21:02
1.2M
py3-nikola-doc-8.3.1-r0.apk
2024-10-25 21:02
61K
py3-nikola-pyc-8.3.1-r0.apk
2024-10-25 21:02
530K
py3-nmap-0.7.1-r4.apk
2024-10-25 21:02
20K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 21:02
25K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 21:02
9.4K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 21:02
9.9K
py3-notifymail-1.1-r8.apk
2024-10-25 21:02
7.6K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 21:02
5.7K
py3-nptyping-2.5.0-r3.apk
2024-10-25 21:02
21K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 21:02
32K
py3-ntplib-0.4.0-r5.apk
2024-10-25 21:02
7.4K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 21:02
8.6K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 03:04
21K
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 03:04
28K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 21:02
4.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 21:02
78K
py3-okonomiyaki-2.0.0-r0.apk
2024-10-25 21:02
7.9M
py3-okonomiyaki-pyc-2.0.0-r0.apk
2024-10-25 21:02
243K
py3-onnxruntime-1.21.0-r1.apk
2025-04-11 19:44
12M
py3-onnxruntime-pyc-1.21.0-r1.apk
2025-04-11 19:44
1.3M
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 21:02
7.6K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 21:02
12K
py3-opendht-3.1.11-r0.apk
2025-01-29 17:00
154K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 21:02
8.2K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 21:02
10K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 21:02
498K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 21:02
42K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 21:02
12K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 21:02
17K
py3-osqp-0.6.2-r6.apk
2024-10-25 21:02
104K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 21:02
49K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 21:02
77K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 21:02
10K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 21:02
8.2K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 21:02
46K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 21:02
91K
py3-ovos-bus-client-1.0.4-r0.apk
2024-11-21 14:32
45K
py3-ovos-bus-client-pyc-1.0.4-r0.apk
2024-11-21 14:32
81K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 21:02
103K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 21:02
164K
py3-ovos-config-1.1.0-r0.apk
2025-04-08 11:13
45K
py3-ovos-config-pyc-1.1.0-r0.apk
2025-04-08 11:13
34K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 21:02
358K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 21:02
437K
py3-ovos-microphone-plugin-alsa-0.1.0-r0.apk
2024-10-25 21:02
9.0K
py3-ovos-microphone-plugin-alsa-pyc-0.1.0-r0.apk
2024-10-25 21:02
4.8K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 21:02
550K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 21:02
86K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 21:02
47K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 21:02
104K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 21:02
8.1K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 21:02
3.4K
py3-ovos-ocp-news-plugin-0.0.4-r0.apk
2024-10-25 21:02
11K
py3-ovos-ocp-news-plugin-pyc-0.0.4-r0.apk
2024-10-25 21:02
8.9K
py3-ovos-ocp-rss-plugin-0.0.3-r0.apk
2024-10-25 21:02
8.3K
py3-ovos-ocp-rss-plugin-pyc-0.0.3-r0.apk
2024-10-25 21:02
3.7K
py3-ovos-phal-plugin-connectivity-events-0.1.1-r0.apk
2024-11-21 14:32
8.6K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.1-r0.apk
2024-11-21 14:32
5.0K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 21:02
4.5K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 21:02
4.4K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 14:32
95K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 14:32
11K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 14:32
12K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 14:32
9.7K
py3-ovos-phal-plugin-system-1.3.2-r0.apk
2025-04-08 11:13
11K
py3-ovos-phal-plugin-system-pyc-1.3.2-r0.apk
2025-04-08 11:13
10K
py3-ovos-plugin-manager-0.8.6-r0.apk
2025-04-08 11:13
93K
py3-ovos-plugin-manager-pyc-0.8.6-r0.apk
2025-04-08 11:13
180K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 21:02
10K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 21:02
6.8K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 21:02
8.3K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 21:02
4.1K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 21:02
12K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 21:02
11K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 21:02
9.5K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 21:02
5.4K
py3-ovos-utils-0.5.4-r0.apk
2024-11-25 13:42
69K
py3-ovos-utils-pyc-0.5.4-r0.apk
2024-11-25 13:42
124K
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk
2024-10-25 21:02
4.5K
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk
2024-10-25 21:02
4.4K
py3-ovos-workshop-3.1.1-r0.apk
2024-11-25 13:42
87K
py3-ovos-workshop-pyc-3.1.1-r0.apk
2024-11-25 13:42
156K
py3-ovos-ww-plugin-vosk-0.1.3-r0.apk
2024-11-21 14:32
11K
py3-ovos-ww-plugin-vosk-pyc-0.1.3-r0.apk
2024-11-21 14:32
12K
py3-owslib-0.32.1-r0.apk
2025-01-24 20:22
194K
py3-owslib-pyc-0.32.1-r0.apk
2025-01-24 20:22
423K
py3-pacparser-1.4.5-r1.apk
2024-10-25 21:02
408K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 21:02
4.0K
py3-padacioso-0.2.1-r0.apk
2024-10-25 21:02
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 21:02
12K
py3-pam-2.0.2-r2.apk
2024-10-25 21:02
11K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 21:02
13K
py3-pathvalidate-3.2.3-r0.apk
2025-01-06 11:40
19K
py3-pathvalidate-pyc-3.2.3-r0.apk
2025-01-06 11:40
33K
py3-pbkdf2-1.3-r7.apk
2024-10-25 21:02
6.3K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 21:02
7.1K
py3-pbs-installer-2024.12.19-r0.apk
2024-12-31 11:53
51K
py3-pbs-installer-pyc-2024.12.19-r0.apk
2024-12-31 11:53
57K
py3-pcbnewtransition-0.5.0-r0.apk
2025-03-21 17:21
7.7K
py3-pcbnewtransition-pyc-0.5.0-r0.apk
2025-03-21 17:21
9.6K
py3-pdal-3.4.5-r0.apk
2024-11-05 22:54
175K
py3-pdal-pyc-3.4.5-r0.apk
2024-11-05 22:54
13K
py3-pelican-4.9.1-r2.apk
2024-10-25 21:02
234K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 21:02
147K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 21:02
9.8K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 21:02
13K
py3-phpserialize-1.3-r8.apk
2024-10-25 21:02
8.9K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 21:02
11K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 21:02
13K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 21:02
2.2K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 21:02
17K
py3-piccata-2.0.3-r1.apk
2024-10-25 21:02
20K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 21:02
34K
py3-pickle-secure-0.99.9-r1.apk
2024-10-25 21:02
7.5K
py3-pickle-secure-pyc-0.99.9-r1.apk
2024-10-25 21:02
5.4K
py3-pika-1.3.2-r1.apk
2024-10-25 21:02
143K
py3-pika-pyc-1.3.2-r1.apk
2024-10-25 21:02
246K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 21:02
43K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 21:02
36K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 21:02
7.0K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 21:02
4.7K
py3-piper-phonemize-2023.11.14.4-r7.apk
2025-03-12 02:07
130K
py3-piper-phonemize-pyc-2023.11.14.4-r7.apk
2025-03-12 02:07
3.3K
py3-piper-tts-2023.11.14.2-r11.apk
2025-03-12 02:07
41K
py3-pivy-0.6.9-r2.apk
2024-11-24 11:45
1.9M
py3-playsound-1.3.0-r1.apk
2024-10-25 21:02
6.9K
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 21:02
8.5K
py3-plexapi-4.16.1-r0.apk
2025-01-12 18:48
153K
py3-plexapi-doc-4.16.1-r0.apk
2025-01-12 18:48
84K
py3-plexapi-pyc-4.16.1-r0.apk
2025-01-12 18:48
306K
py3-plotly-5.24.1-r2.apk
2025-04-19 00:14
20M
py3-pltable-1.1.0-r1.apk
2024-11-13 07:43
19K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 07:43
33K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 21:02
15K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 21:02
25K
py3-poetry-dynamic-versioning-1.7.1-r0.apk
2025-01-29 17:00
20K
py3-poetry-dynamic-versioning-pyc-1.7.1-r0.apk
2025-01-29 17:00
25K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 21:02
120K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 22:06
16K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 22:06
17K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 21:02
14K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 21:02
16K
py3-print-color-0.4.6-r0.apk
2024-10-25 21:02
8.9K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 21:02
2.6K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 21:02
5.0K
py3-proglog-0.1.10-r2.apk
2024-10-25 21:02
7.4K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 21:02
9.9K
py3-protego-0.3.1-r0.apk
2024-11-30 21:18
9.3K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 21:18
12K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 12:36
17K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 12:36
28K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 21:02
21K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 21:02
38K
py3-py-radix-0.10.0-r10.apk
2024-10-25 21:02
19K
py3-py-radix-pyc-0.10.0-r10.apk
2024-10-25 21:02
11K
py3-pyatem-0.5.0-r4.apk
2024-10-25 21:02
52K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 21:02
92K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 21:02
35K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 21:02
45K
py3-pybars3-0.9.7-r6.apk
2024-10-25 21:02
15K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 21:02
17K
py3-pycaption-2.2.15-r0.apk
2024-10-25 21:02
360K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 21:02
5.5K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 21:02
3.7K
py3-pycosat-0.6.6-r2.apk
2024-10-25 21:02
45K
py3-pydes-2.0.1-r5.apk
2024-10-25 21:02
11K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 21:02
3.6K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 21:02
13K
py3-pygelbooru-0.5.0-r4.apk
2024-10-25 21:02
8.1K
py3-pygelbooru-pyc-0.5.0-r4.apk
2024-10-25 21:02
11K
py3-pygfm-2.0.0-r2.apk
2024-10-25 21:02
13K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 21:02
13K
py3-pyglet-2.1.0-r0.apk
2025-01-12 10:01
870K
py3-pyglet-pyc-2.1.0-r0.apk
2025-01-12 10:01
1.6M
py3-pyglm-2.7.3-r0.apk
2024-11-06 10:41
1.3M
py3-pygpgme-0.3.1-r9.apk
2024-10-25 21:02
36K
py3-pygpgme-pyc-0.3.1-r9.apk
2024-10-25 21:02
5.1K
py3-pygtail-0.14.0-r3.apk
2024-10-25 21:02
15K
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 21:02
10K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 21:02
1.9M
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 21:02
502K
py3-pyinstrument-5.0.1-r0.apk
2025-01-24 09:19
107K
py3-pyinstrument-pyc-5.0.1-r0.apk
2025-01-24 09:19
100K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 21:02
20K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 21:02
9.4K
py3-pylru-1.2.1-r1.apk
2024-10-25 21:02
17K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 21:02
8.9K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 21:02
18K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 21:02
35K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 21:02
52K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 21:02
32K
py3-pymata-2.20-r4.apk
2024-10-25 21:02
22K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 21:02
29K
py3-pymata4-1.15-r4.apk
2024-10-25 21:02
23K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 21:02
31K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 21:02
17K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 21:02
32K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 21:02
9.2K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 21:02
9.8K
py3-pymsteams-0.2.5-r0.apk
2025-02-22 15:39
12K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-22 15:39
6.5K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 05:45
246K
py3-pypandoc-1.15-r0.apk
2025-02-22 15:39
21K
py3-pypandoc-pyc-1.15-r0.apk
2025-02-22 15:39
23K
py3-pyparted-3.13.0-r1.apk
2024-10-25 21:02
76K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 21:02
42K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 21:02
52K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 21:02
2.1K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 21:02
90K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 21:02
37K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 21:02
4.3K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 21:02
47K
py3-pyrebase-3.0.27-r5.apk
2024-10-25 21:02
9.6K
py3-pyrebase-pyc-3.0.27-r5.apk
2024-10-25 21:02
18K
py3-pyroma-4.2-r0.apk
2024-10-25 21:02
22K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 21:02
26K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 21:02
14K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 21:02
14K
py3-pysequoia-0.1.20-r3.apk
2024-10-25 21:02
1.5M
py3-pysequoia-pyc-0.1.20-r3.apk
2024-10-25 21:02
1.9K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 21:02
44K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 21:02
78K
py3-pysonic-1.0.3-r0.apk
2025-04-17 00:35
35K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-17 00:35
32K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 21:02
56K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 21:02
63K
py3-pysrt-1.1.2-r4.apk
2024-10-25 21:02
26K
py3-pysrt-pyc-1.1.2-r4.apk
2024-10-25 21:02
23K
py3-pystache-0.6.5-r1.apk
2024-10-25 21:02
68K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 21:02
97K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 23:09
36K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 23:09
68K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 21:44
39K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 21:44
2.9K
py3-pytap2-2.3.0-r0.apk
2024-10-25 21:02
7.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 21:02
2.8K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 21:02
6.0K
py3-pyte-0.8.2-r2.apk
2024-10-25 21:02
30K
py3-pyte-pyc-0.8.2-r2.apk
2024-10-25 21:02
39K
py3-pytest-datadir-1.6.1-r0.apk
2025-04-17 20:04
5.9K
py3-pytest-datadir-pyc-1.6.1-r0.apk
2025-04-17 20:04
3.7K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 21:02
5.9K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 21:02
7.0K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 21:02
4.5K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 21:02
2.8K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 21:02
22K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 21:02
22K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 21:02
10K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 21:02
7.9K
py3-pytest-regtest-2.3.2-r1.apk
2025-02-22 15:39
16K
py3-pytest-regtest-pyc-2.3.2-r1.apk
2025-02-22 15:39
30K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 21:02
20K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 21:02
25K
py3-python-archive-0.2-r7.apk
2024-10-25 21:02
7.4K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 21:02
9.3K
py3-python-iptables-1.0.1-r1.apk
2024-10-25 21:02
39K
py3-python-iptables-pyc-1.0.1-r1.apk
2024-10-25 21:02
68K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 21:02
8.6K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 21:02
2.3K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 21:02
8.4K
py3-python-stdnum-1.20-r0.apk
2024-10-25 21:02
806K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 21:02
293K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 22:46
23K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 22:46
40K
py3-pyzor-1.0.0-r11.apk
2024-10-25 21:02
40K
py3-pyzor-pyc-1.0.0-r11.apk
2024-10-25 21:02
54K
py3-qasync-0.19.0-r2.apk
2024-10-25 21:02
37K
py3-qdldl-0.1.5-r4.apk
2024-10-25 21:02
94K
py3-qgis-3.34.15-r1.apk
2025-04-11 19:44
20M
py3-qpageview-0.6.2-r1.apk
2024-10-25 21:02
98K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 21:02
56K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 21:02
180K
py3-qt.py-1.3.10-r1.apk
2024-10-25 21:02
33K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 21:02
25K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 21:02
8.8K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 21:02
7.8K
py3-queuelib-1.7.0-r0.apk
2024-10-25 21:02
13K
py3-queuelib-pyc-1.7.0-r0.apk
2024-10-25 21:02
25K
py3-rabbit-1.1.0-r8.apk
2024-10-25 21:02
11K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 21:02
15K
py3-radon-6.0.1-r2.apk
2024-10-25 21:02
32K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 21:02
5.1K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 21:02
50K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 21:02
12K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 21:02
18K
py3-recurring-ical-events-3.6.1-r0.apk
2025-04-17 00:34
49K
py3-recurring-ical-events-pyc-3.6.1-r0.apk
2025-04-17 00:34
48K
py3-redmine-2.5.0-r0.apk
2024-10-25 21:02
37K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 21:02
54K
py3-remind-0.19.1-r0.apk
2024-10-25 21:02
25K
py3-remind-pyc-0.19.1-r0.apk
2024-10-25 21:02
23K
py3-requests-cache-1.2.1-r1.apk
2024-11-18 09:46
50K
py3-requests-cache-pyc-1.2.1-r1.apk
2024-11-18 09:46
94K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 18:24
12K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 18:24
11K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 21:02
5.5K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 21:02
6.6K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 21:02
13K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 21:02
12K
py3-rfc3987-1.3.8-r6.apk
2024-10-25 21:02
21K
py3-rfc3987-pyc-1.3.8-r6.apk
2024-10-25 21:02
11K
py3-rich-click-1.7.3-r1.apk
2024-10-25 21:02
31K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 21:02
40K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 21:02
13K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 21:02
11K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 21:02
47K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 21:02
91K
py3-rospkg-1.2.9-r5.apk
2024-10-25 21:02
29K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 21:02
54K
py3-rpio-0.10.1-r8.apk
2024-10-25 21:02
35K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 21:02
16K
py3-rst-0.1-r9.apk
2024-10-25 21:02
5.6K
py3-rst-pyc-0.1-r9.apk
2024-10-25 21:02
6.1K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 21:02
6.1K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 21:02
6.6K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 21:02
12K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 21:02
2.2K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 21:02
24K
py3-rtree-1.3.0-r0.apk
2025-01-04 06:20
25K
py3-rtree-pyc-1.3.0-r0.apk
2025-01-04 06:20
44K
py3-schema-0.7.5-r4.apk
2024-10-25 21:02
18K
py3-schema-pyc-0.7.5-r4.apk
2024-10-25 21:02
18K
py3-scour-0.38.2-r1.apk
2024-10-25 21:02
56K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 21:02
74K
py3-scrapy-2.11.1-r1.apk
2024-10-25 21:02
240K
py3-scrapy-pyc-2.11.1-r1.apk
2024-10-25 21:02
482K
py3-scs-3.2.3-r4.apk
2024-10-25 21:02
105K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 21:02
4.9K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 21:02
2.5M
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 21:02
42K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 21:02
5.4K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 21:02
6.0K
py3-sh-2.1.0-r0.apk
2024-11-01 16:13
38K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 16:13
55K
py3-shodan-1.31.0-r1.apk
2024-10-25 21:02
44K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 21:02
7.2K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 21:02
79K
py3-simber-0.2.6-r4.apk
2024-10-25 21:02
12K
py3-simber-pyc-0.2.6-r4.apk
2024-10-25 21:02
16K
py3-simplematch-1.4-r1.apk
2024-10-25 21:02
8.0K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 21:02
5.8K
py3-simplesat-0.8.2-r0.apk
2024-10-25 21:02
214K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 21:02
157K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 21:02
7.9K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 21:02
12K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 21:02
7.5K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 21:02
8.1K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-13 23:31
209K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-13 23:31
2.0K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 21:02
382K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 21:02
5.8K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 21:02
729K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 21:02
15K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 21:02
26K
py3-soappy-0.52.30-r0.apk
2024-12-03 00:37
47K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-03 00:37
95K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 21:02
17K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 21:02
27K
py3-solidpython-1.1.2-r2.apk
2024-10-25 21:02
79K
py3-solidpython-pyc-1.1.2-r2.apk
2024-10-25 21:02
120K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 21:02
11K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 21:02
14K
py3-spake2-0.9-r0.apk
2024-10-25 21:02
30K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 21:02
44K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 21:02
14K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 21:02
22K
py3-sphinx-autoapi-3.6.0-r0.apk
2025-02-22 15:39
31K
py3-sphinx-autoapi-pyc-3.6.0-r0.apk
2025-02-22 15:39
59K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 21:02
11K
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 21:02
2.0K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 21:02
1.2M
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 21:02
2.3K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 21:02
65K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 21:02
1.8K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 21:02
81K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 21:02
43K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 21:02
30K
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 21:02
2.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 21:02
2.4M
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 21:02
5.5K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 21:02
8.8K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 21:02
2.2K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 21:02
7.6K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 21:02
9.3K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 21:02
9.0K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 21:02
12K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 21:02
5.7K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 21:02
4.1K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 21:02
7.5K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 21:02
9.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 21:02
5.7K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 21:02
4.3K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 21:02
18K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 21:02
34K
py3-sphinxcontrib-doxylink-1.12.3-r0.apk
2024-12-07 00:01
12K
py3-sphinxcontrib-doxylink-pyc-1.12.3-r0.apk
2024-12-07 00:01
16K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 21:02
9.1K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 21:02
3.5K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 21:02
3.9K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 21:02
3.3K
py3-sphinxcontrib-git-11.0.0-r7.apk
2024-10-25 21:02
17K
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk
2024-10-25 21:02
6.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 21:02
7.9K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 21:02
7.6K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 21:02
15K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 21:02
18K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 21:02
4.3K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 21:02
34K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 21:02
21K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 21:02
44K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 21:02
15K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 21:02
12K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 21:02
7.9K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 21:02
4.1K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 21:02
3.1K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 21:02
8.7K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-phpdomain-0.12.0-r0.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-phpdomain-pyc-0.12.0-r0.apk
2024-10-25 21:02
17K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 21:02
16K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 21:02
24K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 21:02
11K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 21:02
20K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 21:02
7.6K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 21:02
9.3K
py3-sphinxcontrib-slide-1.0.0-r3.apk
2024-10-25 21:02
5.0K
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk
2024-10-25 21:02
5.5K
py3-sphinxcontrib-spelling-8.0.0-r3.apk
2024-10-25 21:02
15K
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk
2024-10-25 21:02
19K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 21:02
7.3K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 21:02
4.9K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 21:02
6.1K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 21:02
5.7K
py3-spidev-3.6-r1.apk
2024-10-25 21:02
13K
py3-spin-0.8-r0.apk
2024-10-25 21:02
19K
py3-spin-pyc-0.8-r0.apk
2024-10-25 21:02
24K
py3-spinners-0.0.24-r5.apk
2024-10-25 21:02
6.1K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 21:02
6.2K
py3-spnego-0.11.2-r0.apk
2025-01-16 08:52
118K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 08:52
219K
py3-spotipy-2.24.0-r1.apk
2024-10-25 21:02
30K
py3-spotipy-pyc-2.24.0-r1.apk
2024-10-25 21:02
50K
py3-sqlmodel-0.0.22-r1.apk
2024-12-07 00:03
26K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-07 00:03
41K
py3-sssd-2.10.2-r1.apk
2025-03-21 20:32
58K
py3-sssd-pyc-2.10.2-r1.apk
2025-03-21 20:32
49K
py3-sstash-0.17-r9.apk
2024-10-25 21:02
7.7K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 21:02
10K
py3-svgpath-6.3-r3.apk
2024-10-25 21:02
17K
py3-svgpath-pyc-6.3-r3.apk
2024-10-25 21:02
22K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 21:02
2.5M
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 21:02
2.1K
py3-synapse-auto-accept-invite-1.2.0-r0.apk
2024-10-25 21:02
9.8K
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk
2024-10-25 21:02
5.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 21:02
6.9K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 21:02
6.6K
py3-tasklib-2.5.1-r2.apk
2024-10-25 21:02
23K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 21:02
52K
py3-telegram-0.18.0-r3.apk
2024-10-25 21:02
14K
py3-telegram-bot-21.10-r0.apk
2025-02-22 15:39
454K
py3-telegram-bot-pyc-21.10-r0.apk
2025-02-22 15:39
724K
py3-telegram-pyc-0.18.0-r3.apk
2024-10-25 21:02
21K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 21:02
9.2K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 21:02
13K
py3-telemetrix-1.20-r3.apk
2024-10-25 21:02
21K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 21:02
31K
py3-teletype-1.3.4-r3.apk
2024-10-25 21:02
15K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 21:02
21K
py3-testresources-2.0.1-r6.apk
2024-10-25 21:02
17K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 21:02
16K
py3-textual-0.87.1-r0.apk
2024-12-07 21:27
567K
py3-textual-pyc-0.87.1-r0.apk
2024-12-07 21:27
1.1M
py3-tg-0.19.0-r5.apk
2024-10-25 21:02
73K
py3-tg-pyc-0.19.0-r5.apk
2024-10-25 21:02
81K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 21:02
10K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 21:02
9.0K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 21:02
6.0K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 21:02
6.4K
py3-tidalapi-0.7.4-r1.apk
2024-10-25 21:02
36K
py3-tidalapi-pyc-0.7.4-r1.apk
2024-10-25 21:02
62K
py3-timeago-1.0.16-r0.apk
2024-10-25 21:02
24K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 21:02
2.8K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 21:02
28K
py3-tls_parser-2.0.1-r1.apk
2024-10-25 21:02
9.2K
py3-tls_parser-pyc-2.0.1-r1.apk
2024-10-25 21:02
17K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 21:02
179K
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 21:02
275K
py3-tokenizers-0.21.0-r0.apk
2024-11-27 20:54
1.6M
py3-tokenizers-pyc-0.21.0-r0.apk
2024-11-27 20:54
29K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 21:02
292K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 21:02
236K
py3-transitions-0.9.2-r0.apk
2024-10-25 21:02
98K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 21:02
129K
py3-translationstring-1.4-r4.apk
2024-10-25 21:02
9.2K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 21:02
8.8K
py3-trimesh-3.22.1-r2.apk
2024-10-25 21:02
629K
py3-trimesh-pyc-3.22.1-r2.apk
2024-10-25 21:02
731K
py3-trivup-0.12.2-r2.apk
2024-10-25 21:02
34K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 21:02
55K
py3-truststore-0.10.1-r0.apk
2025-02-22 15:39
17K
py3-truststore-pyc-0.10.1-r0.apk
2025-02-22 15:39
26K
py3-twiggy-0.5.1-r4.apk
2024-10-25 21:02
24K
py3-twiggy-pyc-0.5.1-r4.apk
2024-10-25 21:02
39K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 21:02
9.9K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 21:02
14K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 21:02
11K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 21:02
16K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 21:02
5.7K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 21:02
2.2K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 21:02
6.8K
py3-uc-micro-py-1.0.2-r1.apk
2024-10-25 21:02
9.1K
py3-unearth-0.17.5-r0.apk
2025-04-11 07:33
40K
py3-unearth-pyc-0.17.5-r0.apk
2025-04-11 07:33
82K
py3-unicorn-2.0.1-r4.apk
2024-10-25 21:02
34K
py3-unicorn-pyc-2.0.1-r4.apk
2024-10-25 21:02
57K
py3-unicrypto-0.0.10-r2.apk
2024-10-25 21:02
61K
py3-unicrypto-pyc-0.0.10-r2.apk
2024-10-25 21:02
94K
py3-unidns-0.0.1-r2.apk
2024-10-25 21:02
14K
py3-unidns-examples-0.0.1-r2.apk
2024-10-25 21:02
2.7K
py3-unidns-pyc-0.0.1-r2.apk
2024-10-25 21:02
22K
py3-unoconv-0.9.0-r2.apk
2024-10-25 21:02
26K
py3-uptime-3.0.1-r9.apk
2024-10-25 21:02
9.6K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 21:02
8.7K
py3-urlobject-2.4.3-r9.apk
2024-10-25 21:02
15K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 21:02
25K
py3-us-3.2.0-r0.apk
2024-10-25 21:02
14K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 21:02
15K
py3-utc-0.0.3-r9.apk
2024-10-25 21:02
3.5K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 21:02
2.8K
py3-vatnumber-1.2-r9.apk
2024-10-25 21:02
19K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 21:02
8.6K
py3-vdf-3.4-r1.apk
2024-10-25 21:02
11K
py3-vdf-pyc-3.4-r1.apk
2024-10-25 21:02
17K
py3-venusian-3.1.1-r0.apk
2024-12-07 22:14
14K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 22:14
12K
py3-virtualenvwrapper-6.1.0-r1.apk
2024-10-25 21:02
22K
py3-virtualenvwrapper-pyc-6.1.0-r1.apk
2024-10-25 21:02
12K
py3-visitor-0.1.3-r7.apk
2024-10-25 21:02
4.6K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 21:02
2.6K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 21:02
41K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 21:02
80K
py3-wbdata-1.0.0-r1.apk
2024-10-25 21:02
18K
py3-wbdata-pyc-1.0.0-r1.apk
2024-10-25 21:02
20K
py3-webrtcvad-2.0.10-r1.apk
2024-10-25 21:02
23K
py3-webrtcvad-pyc-2.0.10-r1.apk
2024-10-25 21:02
2.8K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 21:02
7.6K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 21:02
13K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 17:00
22K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 17:00
12K
py3-wifi-0.3.8-r7.apk
2024-10-25 21:02
13K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 21:02
14K
py3-winacl-0.1.9-r0.apk
2024-10-25 21:02
84K
py3-winacl-pyc-0.1.9-r0.apk
2024-10-25 21:02
132K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 21:02
17K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 21:02
28K
py3-wstools-0.4.10-r7.apk
2024-10-25 21:02
53K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 21:02
111K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 21:02
13K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 21:02
25K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 16:13
12K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 16:13
7.1K
py3-xapp-2.4.2-r0.apk
2024-11-12 12:18
34K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 14:32
312K
py3-xlwt-1.3.0-r9.apk
2024-10-25 21:02
95K
py3-xlwt-pyc-1.3.0-r9.apk
2024-10-25 21:02
166K
py3-xsdata-25.4-r0.apk
2025-04-14 11:33
190K
py3-xsdata-pyc-25.4-r0.apk
2025-04-14 11:33
393K
py3-yapsy-1.12.2-r7.apk
2024-10-25 21:02
32K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 21:02
47K
py3-yara-4.5.1-r0.apk
2024-10-25 21:02
17K
py3-yosys-0.42-r0.apk
2024-10-25 21:02
1.8K
py3-youtube-search-1.6.6-r4.apk
2024-10-25 21:02
79K
py3-youtube-search-pyc-1.6.6-r4.apk
2024-10-25 21:02
96K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 10:41
52K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 10:41
68K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 21:02
45K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 21:02
29K
py3-zope-configuration-5.0.1-r2.apk
2024-10-25 21:02
39K
py3-zope-configuration-pyc-5.0.1-r2.apk
2024-10-25 21:02
49K
py3-zope-i18nmessageid-6.1.0-r2.apk
2024-10-25 21:02
15K
py3-zope-i18nmessageid-pyc-6.1.0-r2.apk
2024-10-25 21:02
8.0K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 21:02
45K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 21:02
61K
pyinfra-3.2-r0.apk
2025-01-23 03:45
186K
pyinfra-pyc-3.2-r0.apk
2025-01-23 03:45
349K
pympress-1.8.5-r1.apk
2024-10-25 21:02
181K
pympress-doc-1.8.5-r1.apk
2024-10-25 21:02
348K
pympress-lang-1.8.5-r1.apk
2024-10-25 21:02
56K
pympress-pyc-1.8.5-r1.apk
2024-10-25 21:02
182K
pyonji-0.1.0-r4.apk
2025-04-13 23:31
3.0M
pypy-7.3.12-r0.apk
2024-10-25 21:02
18M
pypy-bootstrap-7.3.12-r0.apk
2024-10-25 21:02
19M
pypy-dev-7.3.12-r0.apk
2024-10-25 21:02
78K
pypy-tkinter-7.3.12-r0.apk
2024-10-25 21:02
452K
pypy3-7.3.12-r0.apk
2024-10-25 21:02
17M
pypy3-dev-7.3.12-r0.apk
2024-10-25 21:02
556K
pypy3-pyc-7.3.12-r0.apk
2024-10-25 21:02
5.9M
pypy3-tests-7.3.12-r0.apk
2024-10-25 21:02
13M
pypy3-tkinter-7.3.12-r0.apk
2024-10-25 21:02
300K
pypykatz-0.6.11-r0.apk
2025-03-01 03:45
315K
pypykatz-pyc-0.6.11-r0.apk
2025-03-01 03:45
721K
pyradio-0.9.3.11-r0.apk
2024-10-25 21:02
871K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 21:02
113K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 21:02
810K
qbittorrent-cli-2.1.0-r4.apk
2025-04-13 23:31
5.7M
qdjango-0.6.2-r1.apk
2024-10-25 21:02
97K
qdjango-dev-0.6.2-r1.apk
2024-10-25 21:02
14K
qflipper-1.3.3-r1.apk
2024-10-25 21:02
476K
qflipper-gui-1.3.3-r1.apk
2024-10-25 21:02
1.1M
qgis-3.34.15-r1.apk
2025-04-11 19:44
45M
qgis-dev-3.34.15-r1.apk
2025-04-11 19:44
3.3M
qgis-doc-3.34.15-r1.apk
2025-04-11 19:44
3.1K
qgis-grass-3.34.15-r1.apk
2025-04-11 19:44
1.3M
qgis-lang-3.34.15-r1.apk
2025-04-11 19:44
31M
qgis-server-3.34.15-r1.apk
2025-04-11 19:44
1.6M
qmk-cli-1.1.6-r0.apk
2024-11-01 00:17
14K
qmk-cli-pyc-1.1.6-r0.apk
2024-11-01 00:17
20K
qml-box2d-0_git20180406-r0.apk
2024-10-25 21:02
139K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 21:02
1.5K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 21:02
6.8K
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 21:02
29K
qownnotes-23.6.6-r0.apk
2024-10-25 21:02
2.3M
qownnotes-lang-23.6.6-r0.apk
2024-10-25 21:02
4.4M
qpdfview-0.5-r2.apk
2025-01-29 21:02
1.0M
qpdfview-doc-0.5-r2.apk
2025-01-29 21:02
4.2K
qperf-0.4.11-r1.apk
2024-10-25 21:02
33K
qperf-doc-0.4.11-r1.apk
2024-10-25 21:02
5.5K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 21:02
172K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 21:02
54K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 21:02
62K
qsstv-9.5.8-r2.apk
2024-10-25 21:02
1.0M
qstardict-2.0.2-r1.apk
2024-11-24 11:45
446K
qstardict-doc-2.0.2-r1.apk
2024-11-24 11:45
11K
qsynth-1.0.2-r0.apk
2024-10-25 21:02
426K
qsynth-doc-1.0.2-r0.apk
2024-10-25 21:02
4.4K
qt-creator-15.0.1-r1.apk
2025-04-13 23:31
44M
qt-jdenticon-0.3.1-r0.apk
2024-10-25 21:02
26K
qt-jdenticon-doc-0.3.1-r0.apk
2024-10-25 21:02
2.2K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 21:02
13K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 21:02
3.9K
qt5ct-1.8-r0.apk
2024-10-25 21:02
224K
qt5ct-dev-1.8-r0.apk
2024-10-25 21:02
1.5K
qt6ct-0.9-r3.apk
2024-12-09 20:39
192K
qtile-0.30.0-r0.apk
2025-02-22 15:39
436K
qtile-pyc-0.30.0-r0.apk
2025-02-22 15:39
837K
qtmir-0.7.2_git20250407-r0.apk
2025-04-18 07:47
505K
qtmir-dev-0.7.2_git20250407-r0.apk
2025-04-18 07:47
6.6K
qtox-1.17.6-r6.apk
2024-10-25 21:02
5.0M
qtpass-1.4.0-r0.apk
2024-10-25 21:02
420K
qtpass-doc-1.4.0-r0.apk
2024-10-25 21:02
2.1K
quakespasm-0.96.3-r0.apk
2024-10-25 21:02
465K
qucs-s-1.1.0-r1.apk
2024-10-25 21:02
3.4M
qucs-s-doc-1.1.0-r1.apk
2024-10-25 21:02
2.4K
qucs-s-lang-1.1.0-r1.apk
2024-10-25 21:02
865K
queercat-1.0.0-r0.apk
2024-10-25 21:02
7.3K
quodlibet-4.6.0-r1.apk
2024-10-25 21:02
1.0M
quodlibet-bash-completion-4.6.0-r1.apk
2024-10-25 21:02
4.7K
quodlibet-doc-4.6.0-r1.apk
2024-10-25 21:02
8.7K
quodlibet-lang-4.6.0-r1.apk
2024-10-25 21:02
1.2M
quodlibet-pyc-4.6.0-r1.apk
2024-10-25 21:02
1.8M
quodlibet-zsh-completion-4.6.0-r1.apk
2024-10-25 21:02
2.7K
r2ghidra-5.9.4-r2.apk
2024-11-19 18:26
14M
radarr-5.21.1.9799-r0.apk
2025-04-16 00:37
28M
radarr-openrc-5.21.1.9799-r0.apk
2025-04-16 00:37
2.0K
randrctl-1.10.0-r0.apk
2024-11-17 22:22
28K
randrctl-pyc-1.10.0-r0.apk
2024-11-17 22:22
31K
rankwidth-0.9-r3.apk
2024-10-25 21:02
5.3K
rankwidth-dev-0.9-r3.apk
2024-10-25 21:02
2.9K
rankwidth-doc-0.9-r3.apk
2024-10-25 21:02
3.0K
rankwidth-libs-0.9-r3.apk
2024-10-25 21:02
4.8K
rankwidth-static-0.9-r3.apk
2024-10-25 21:02
4.3K
raspberrypi-usbboot-20210701-r3.apk
2024-10-25 21:02
1.5M
rathole-0.5.0-r0.apk
2024-10-25 21:02
1.3M
rattler-build-0.18.0-r0.apk
2024-10-25 21:02
5.6M
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 21:02
3.6K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 21:02
6.7K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 21:02
4.7K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 21:02
5.4K
rauc-1.10.1-r0.apk
2024-10-25 21:02
143K
rauc-doc-1.10.1-r0.apk
2024-10-25 21:02
4.2K
rauc-service-1.10.1-r0.apk
2024-10-25 21:02
3.7K
razercfg-0.42-r7.apk
2024-10-25 21:02
78K
razercfg-gui-0.42-r7.apk
2024-10-25 21:02
19K
razercfg-openrc-0.42-r7.apk
2024-10-25 21:02
1.7K
razercfg-pyc-0.42-r7.apk
2024-10-25 21:02
36K
rclone-browser-1.8.0-r1.apk
2024-10-25 21:02
334K
rcon-cli-1.6.2-r10.apk
2025-04-13 23:31
3.0M
rdedup-3.2.1-r5.apk
2024-10-25 21:02
794K
rdrview-0.1.3-r0.apk
2025-02-22 20:30
28K
rdrview-doc-0.1.3-r0.apk
2025-02-22 20:30
3.7K
reaction-1.4.1-r5.apk
2025-04-13 23:31
2.4M
reaction-openrc-1.4.1-r5.apk
2025-04-13 23:31
1.8K
reaction-tools-1.4.1-r5.apk
2025-04-13 23:31
18K
readosm-1.1.0-r2.apk
2024-10-25 21:02
14K
readosm-dev-1.1.0-r2.apk
2024-10-25 21:02
19K
reason-3.8.2-r1.apk
2024-10-25 21:03
19M
reason-rtop-3.8.2-r1.apk
2024-10-25 21:03
24M
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 21:03
442K
recoll-1.37.5-r1.apk
2024-10-25 21:03
2.7M
recoll-dev-1.37.5-r1.apk
2024-10-25 21:03
53K
recoll-doc-1.37.5-r1.apk
2024-10-25 21:03
21K
recyclarr-7.4.1-r0.apk
2025-02-24 12:55
2.6M
recyclarr-doc-7.4.1-r0.apk
2025-02-24 12:55
2.2K
redhat-fonts-4.1.0-r0.apk
2025-03-21 17:21
809K
refind-0.14.2-r0.apk
2024-10-25 21:03
1.0M
refind-doc-0.14.2-r0.apk
2024-10-25 21:03
14K
refine-0.5.7-r0.apk
2025-04-17 01:07
33K
refine-lang-0.5.7-r0.apk
2025-04-17 01:07
29K
reflection-cpp-0.2.0-r0.apk
2025-04-14 01:26
1.3K
reflection-cpp-dev-0.2.0-r0.apk
2025-04-14 01:26
9.9K
reflection-cpp-doc-0.2.0-r0.apk
2025-04-14 01:26
5.5K
reg-0.16.1-r27.apk
2025-04-13 23:31
4.8M
regal-0.29.2-r4.apk
2025-04-13 23:31
10M
regal-bash-completion-0.29.2-r4.apk
2025-04-13 23:31
5.1K
regal-fish-completion-0.29.2-r4.apk
2025-04-13 23:31
4.3K
regal-zsh-completion-0.29.2-r4.apk
2025-04-13 23:31
4.0K
regclient-0.8.2-r1.apk
2025-04-13 23:31
14M
remake-1.5-r1.apk
2024-10-25 21:03
137K
remake-dev-1.5-r1.apk
2024-10-25 21:03
2.9K
remake-doc-1.5-r1.apk
2024-10-25 21:03
202K
remake-make-1.5-r1.apk
2024-10-25 21:03
1.5K
remind-caldav-0.8.0-r4.apk
2024-10-25 21:03
18K
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 21:03
6.1K
repgrep-0.15.0-r0.apk
2024-10-25 21:03
1.2M
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 21:03
1.6K
repgrep-doc-0.15.0-r0.apk
2024-10-25 21:03
6.5K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 21:03
4.1K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 21:03
1.6K
repo-2.53-r0.apk
2025-03-21 17:21
17K
repo-doc-2.53-r0.apk
2025-03-21 17:21
38K
repology-cli-1.9.0-r0.apk
2025-02-22 15:39
120K
repology-cli-doc-1.9.0-r0.apk
2025-02-22 15:39
4.4K
repowerd-2023.07-r3.apk
2025-02-22 15:39
854K
repowerd-openrc-2023.07-r3.apk
2025-02-22 15:39
1.7K
reprotest-0.7.29-r0.apk
2024-12-23 05:07
80K
reprotest-pyc-0.7.29-r0.apk
2024-12-23 05:07
103K
reredirect-0.3-r0.apk
2024-10-25 21:03
8.7K
reredirect-doc-0.3-r0.apk
2024-10-25 21:03
2.8K
resources-1.8.0-r0.apk
2025-04-13 23:31
2.3M
resources-lang-1.8.0-r0.apk
2025-04-13 23:31
126K
responder-3.1.5.0-r0.apk
2024-10-25 21:03
750K
restart-services-0.17.0-r0.apk
2024-10-25 21:03
12K
restart-services-doc-0.17.0-r0.apk
2024-10-25 21:03
5.9K
restic.mk-0.4.0-r0.apk
2024-10-25 21:03
2.9K
restinio-0.6.19-r1.apk
2024-12-14 22:23
1.2K
restinio-dev-0.6.19-r1.apk
2024-12-14 22:23
268K
rezolus-2.11.1-r3.apk
2024-10-25 21:03
886K
rezolus-doc-2.11.1-r3.apk
2024-10-25 21:03
3.4K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 21:03
2.1K
rgxg-0.1.2-r2.apk
2024-10-25 21:03
13K
rgxg-dev-0.1.2-r2.apk
2024-10-25 21:03
3.5K
rgxg-doc-0.1.2-r2.apk
2024-10-25 21:03
12K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 21:03
44K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 21:03
73K
ri-li-2.0.1-r1.apk
2024-10-25 21:03
18M
riemann-cli-0.8.0-r2.apk
2024-10-25 21:03
515K
rime-ls-0.4.2-r0.apk
2025-04-05 05:59
1.1M
rinetd-0.73-r0.apk
2024-10-25 21:03
14K
rinetd-doc-0.73-r0.apk
2024-10-25 21:03
16K
rinetd-openrc-0.73-r0.apk
2024-10-25 21:03
1.7K
rio-0.2.12-r0.apk
2025-04-03 02:11
10M
rio-doc-0.2.12-r0.apk
2025-04-03 02:11
2.2K
rio-terminfo-0.2.12-r0.apk
2025-04-03 02:11
3.4K
ripasso-cursive-0.6.5-r0.apk
2024-10-25 21:03
2.8M
ripdrag-0.4.10-r0.apk
2024-10-25 21:03
338K
river-bedload-0.1.1-r1.apk
2025-03-13 05:31
183K
river-bedload-doc-0.1.1-r1.apk
2025-03-13 05:31
2.2K
river-bedload-zsh-completion-0.1.1-r1.apk
2025-03-13 05:31
1.8K
river-luatile-0.1.3-r0.apk
2024-10-25 21:03
424K
river-shifttags-0.2.1-r0.apk
2024-10-25 21:03
6.0K
river-shifttags-doc-0.2.1-r0.apk
2024-10-25 21:03
2.3K
rivercarro-0.5.0-r1.apk
2025-03-13 05:31
196K
rivercarro-doc-0.5.0-r1.apk
2025-03-13 05:31
3.0K
rizin-0.6.3-r1.apk
2024-10-25 21:03
2.7M
rizin-cutter-2.3.2-r2.apk
2024-10-25 21:03
2.1M
rizin-cutter-dev-2.3.2-r2.apk
2024-10-25 21:03
108K
rizin-dev-0.6.3-r1.apk
2024-10-25 21:03
306K
rizin-doc-0.6.3-r1.apk
2024-10-25 21:03
18K
rizin-libs-0.6.3-r1.apk
2024-10-25 21:03
3.9M
rkdeveloptool-1.1.0-r1.apk
2024-10-25 21:03
55K
rkdeveloptool-doc-1.1.0-r1.apk
2024-10-25 21:03
3.0K
rke-1.4.3-r14.apk
2025-04-13 23:31
21M
rke-doc-1.4.3-r14.apk
2025-04-13 23:31
3.0K
rmlint-2.10.2-r2.apk
2024-10-25 21:03
141K
rmlint-doc-2.10.2-r2.apk
2024-10-25 21:03
18K
rmlint-lang-2.10.2-r2.apk
2024-10-25 21:03
19K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 21:03
96K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 21:03
124K
rmpc-0.8.0-r0.apk
2025-04-14 03:08
2.1M
rmpc-bash-completion-0.8.0-r0.apk
2025-04-14 03:08
4.1K
rmpc-doc-0.8.0-r0.apk
2025-04-14 03:08
4.0K
rmpc-fish-completion-0.8.0-r0.apk
2025-04-14 03:08
4.4K
rmpc-zsh-completion-0.8.0-r0.apk
2025-04-14 03:08
5.6K
rofi-blocks-0.1.0-r0.apk
2024-10-25 21:03
11K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 21:03
5.0K
rofi-pass-2.0.2-r2.apk
2024-10-25 21:03
8.8K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 21:03
5.0K
rosdep-0.19.0-r6.apk
2024-10-25 21:03
66K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 21:03
119K
rosenpass-0.2.2-r1.apk
2025-02-01 19:23
1.0M
roswell-24.10.115-r0.apk
2024-10-25 21:03
111K
roswell-doc-24.10.115-r0.apk
2024-10-25 21:03
18K
rpg-cli-1.2.0-r0.apk
2024-10-25 21:03
586K
rpi-imager-1.9.0-r0.apk
2024-10-25 21:03
697K
rpi-imager-doc-1.9.0-r0.apk
2024-10-25 21:03
3.1K
rss-email-0.5.0-r0.apk
2024-10-25 21:03
2.2M
rss-email-doc-0.5.0-r0.apk
2024-10-25 21:03
6.4K
rsstail-2.2-r0.apk
2025-02-22 15:39
8.7K
rsstail-doc-2.2-r0.apk
2025-02-22 15:39
2.8K
rtaudio-6.0.1-r0.apk
2025-04-18 07:47
39K
rtaudio-dev-6.0.1-r0.apk
2025-04-18 07:47
62K
rtaudio-doc-6.0.1-r0.apk
2025-04-18 07:47
194K
rtl-power-fftw-20200601-r4.apk
2024-10-25 21:03
59K
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 21:03
8.2K
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 21:03
4.0M
rtl8812au-src-5.6.4.2_git20231103-r1.apk
2025-01-05 22:12
2.6M
rtl8821ce-src-5_git20250331-r0.apk
2025-04-07 21:54
4.3M
rtmidi-6.0.0-r0.apk
2024-10-25 21:03
29K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 21:03
14K
rtptools-1.22-r2.apk
2024-10-25 21:03
28K
rtptools-doc-1.22-r2.apk
2024-10-25 21:03
13K
rtw89-src-7_p20230725-r0.apk
2024-10-25 21:03
759K
ruby-build-20250215-r0.apk
2025-03-05 07:07
89K
ruby-build-doc-20250215-r0.apk
2025-03-05 07:07
4.7K
ruby-build-runtime-20250215-r0.apk
2025-03-05 07:07
1.3K
ruby-dry-inflector-1.1.0-r0.apk
2025-04-17 22:35
8.2K
ruby-dry-inflector-doc-1.1.0-r0.apk
2025-04-17 22:35
2.3K
ruby-facter-4.9.0-r0.apk
2025-04-17 22:36
218K
ruby-hashdiff-1.1.1-r0.apk
2025-04-17 22:33
8.4K
ruby-hashdiff-doc-1.1.1-r0.apk
2025-04-17 22:33
2.2K
ruby-libguestfs-1.52.0-r1.apk
2024-10-25 21:03
105K
ruff-lsp-0.0.62-r0.apk
2025-03-11 12:19
21K
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-11 12:19
35K
runst-0.1.7-r0.apk
2024-10-25 21:03
1.5M
runst-doc-0.1.7-r0.apk
2024-10-25 21:03
7.7K
rust-script-0.35.0-r0.apk
2024-10-27 18:16
924K
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 21:03
2.4M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 21:03
2.2K
rustic-0.9.3-r0.apk
2024-10-25 21:03
6.1M
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 21:03
8.6K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 21:03
17K
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 21:03
13K
rustscan-2.3.0-r0.apk
2024-10-25 21:03
1.5M
ruuvi-prometheus-0.1.9-r1.apk
2025-04-13 23:32
3.4M
ruuvi-prometheus-openrc-0.1.9-r1.apk
2025-04-13 23:32
1.7K
rvlprog-0.91-r2.apk
2024-10-25 21:03
28K
ry-0.5.2-r1.apk
2024-10-25 21:03
4.6K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 21:03
2.0K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 21:03
2.3K
rygel-0.44.2-r0.apk
2025-03-21 17:21
772K
rygel-dev-0.44.2-r0.apk
2025-03-21 17:21
43K
rygel-doc-0.44.2-r0.apk
2025-03-21 17:21
9.6K
rygel-lang-0.44.2-r0.apk
2025-03-21 17:21
567K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 21:03
54K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 21:03
8.5K
s-postgray-0.8.3-r0.apk
2024-10-25 21:03
45K
s-postgray-doc-0.8.3-r0.apk
2024-10-25 21:03
9.6K
s5cmd-2.3.0-r2.apk
2025-04-13 23:32
5.2M
saait-0.8-r0.apk
2024-10-25 21:03
6.8K
saait-doc-0.8-r0.apk
2024-10-25 21:03
13K
sacc-1.07-r0.apk
2024-10-25 21:03
16K
sacc-doc-1.07-r0.apk
2024-10-25 21:03
2.9K
sandbar-0.1-r0.apk
2024-10-25 21:03
14K
satellite-1.0.0-r27.apk
2025-04-13 23:32
2.3M
satellite-doc-1.0.0-r27.apk
2025-04-13 23:32
3.0K
satellite-openrc-1.0.0-r27.apk
2025-04-13 23:32
1.9K
sauerbraten-2020.12.29-r4.apk
2025-02-22 15:39
934M
sbase-0_git20210730-r3.apk
2024-10-25 21:03
118K
sbase-doc-0_git20210730-r3.apk
2024-10-25 21:03
58K
sblg-0.5.11-r0.apk
2024-10-25 21:03
41K
sblg-doc-0.5.11-r0.apk
2024-10-25 21:03
1.3M
sblim-sfcc-2.2.8-r3.apk
2024-10-25 21:03
51K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 21:03
22K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 21:03
35K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 21:03
99K
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 21:03
4.5K
sc-controller-0.5.1-r0.apk
2025-03-26 23:43
1.3M
sc-controller-pyc-0.5.1-r0.apk
2025-03-26 23:43
813K
sc-im-0.8.4-r1.apk
2025-04-16 19:39
170K
sc-im-doc-0.8.4-r1.apk
2025-04-16 19:39
4.8K
sc3-plugins-3.13.0-r2.apk
2025-02-09 00:48
9.9M
scalingo-1.30.0-r9.apk
2025-04-13 23:32
5.6M
scap-workbench-1.2.1-r3.apk
2024-10-25 21:03
230K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 21:03
1.6M
schismtracker-20231029-r0.apk
2024-10-25 21:03
371K
schismtracker-doc-20231029-r0.apk
2024-10-25 21:03
6.2K
sciteco-2.3.0-r0.apk
2024-12-29 09:40
1.3M
sciteco-common-2.3.0-r0.apk
2024-12-29 09:40
150K
sciteco-doc-2.3.0-r0.apk
2024-12-29 09:40
111K
sciteco-gtk-2.3.0-r0.apk
2024-12-29 09:40
1.5M
scooper-1.3-r1.apk
2024-10-25 21:03
496K
scooper-doc-1.3-r1.apk
2024-10-25 21:03
2.6K
scratch-1.4.0.7-r1.apk
2024-10-25 21:03
39M
scratch-doc-1.4.0.7-r1.apk
2024-10-25 21:03
2.6K
screen-message-0.29-r0.apk
2025-04-13 23:32
9.7K
screen-message-doc-0.29-r0.apk
2025-04-13 23:32
3.6K
screenkey-1.5-r6.apk
2024-10-25 21:03
77K
screenkey-doc-1.5-r6.apk
2024-10-25 21:03
11K
screenkey-pyc-1.5-r6.apk
2024-10-25 21:03
73K
sct-2018.12.18-r1.apk
2024-10-25 21:03
3.8K
sdl3_image-3.2.4-r0.apk
2025-03-24 21:49
75K
sdl3_image-dev-3.2.4-r0.apk
2025-03-24 21:49
12K
sdl3_image-doc-3.2.4-r0.apk
2025-03-24 21:49
2.1K
sdparm-1.12-r1.apk
2024-10-25 21:03
148K
sdparm-doc-1.12-r1.apk
2024-10-25 21:03
19K
sdrangel-7.20.0-r3.apk
2025-02-09 00:48
43M
seaweedfs-3.80-r4.apk
2025-04-13 23:32
25M
seaweedfs-doc-3.80-r4.apk
2025-04-13 23:32
14K
seaweedfs-openrc-3.80-r4.apk
2025-04-13 23:32
1.9K
secsipidx-1.3.2-r11.apk
2025-04-13 23:32
2.8M
secsipidx-dev-1.3.2-r11.apk
2025-04-13 23:32
5.3M
secsipidx-libs-1.3.2-r11.apk
2025-04-13 23:32
2.5M
sedutil-1.15.1-r1.apk
2024-10-25 21:03
188K
sedutil-doc-1.15.1-r1.apk
2024-10-25 21:03
3.1K
seed7-05.20240322-r0.apk
2024-10-25 21:03
10M
seed7-doc-05.20240322-r0.apk
2024-10-25 21:03
1.6M
seed7-nano-05.20240322-r0.apk
2024-10-25 21:03
2.5K
seed7-vim-05.20240322-r0.apk
2024-10-25 21:03
4.0K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 21:03
45K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 21:03
2.6K
sentinel-proxy-2.1.0-r0.apk
2024-10-25 21:03
43K
sentinel-proxy-dev-2.1.0-r0.apk
2024-10-25 21:03
4.5K
sentinel-proxy-openrc-2.1.0-r0.apk
2024-10-25 21:03
2.3K
sentrypeer-4.0.4-r0.apk
2025-03-21 17:21
1.9M
sentrypeer-doc-4.0.4-r0.apk
2025-03-21 17:21
3.3K
serialdv-1.1.4-r1.apk
2025-02-09 00:48
6.5K
serialdv-dev-1.1.4-r1.apk
2025-02-09 00:48
5.1K
serialdv-libs-1.1.4-r1.apk
2025-02-09 00:48
65K
serie-0.4.4-r0.apk
2025-02-27 19:30
896K
serie-doc-0.4.4-r0.apk
2025-02-27 19:30
6.5K
setroot-2.0.2-r1.apk
2024-10-25 21:03
12K
setroot-doc-2.0.2-r1.apk
2024-10-25 21:03
4.4K
sflowtool-6.02-r0.apk
2024-10-25 21:03
40K
sflowtool-doc-6.02-r0.apk
2024-10-25 21:03
9.4K
sfwbar-1.0_beta16-r1.apk
2024-12-12 20:02
270K
sfwbar-doc-1.0_beta16-r1.apk
2024-12-12 20:02
26K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 21:03
2.6M
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 21:03
219K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 21:03
3.5K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 21:03
28K
shc-4.0.3-r2.apk
2024-10-25 21:03
17K
shellinabox-2.21-r3.apk
2024-10-25 21:03
118K
shellinabox-doc-2.21-r3.apk
2024-10-25 21:03
19K
shellinabox-openrc-2.21-r3.apk
2024-10-25 21:03
3.5K
shfm-0.4.2-r1.apk
2024-10-25 21:03
4.0K
shfm-doc-0.4.2-r1.apk
2024-10-25 21:03
6.1K
shine-3.1.1-r0.apk
2024-10-25 21:03
54K
shipments-0.3.0-r0.apk
2024-10-25 21:03
23K
shntool-3.0.10-r5.apk
2025-02-24 22:28
57K
shntool-doc-3.0.10-r5.apk
2025-02-24 22:28
10K
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 21:03
1.8K
sigil-2.1.0-r0.apk
2024-10-25 21:03
4.1M
sigil-lang-2.1.0-r0.apk
2024-10-25 21:03
3.1M
sigma-0.23.1-r1.apk
2024-10-25 21:03
237K
sigma-pyc-0.23.1-r1.apk
2024-10-25 21:03
340K
signal-desktop-7.47.0-r1.apk
2025-04-06 20:54
64M
sigrok-cli-0.7.2-r0.apk
2024-10-25 21:03
39K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 21:03
8.0K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 21:03
13K
silc-client-1.1.11-r17.apk
2024-10-25 21:03
855K
silc-client-doc-1.1.11-r17.apk
2024-10-25 21:03
83K
simavr-1.7-r1.apk
2024-10-25 21:03
94K
simavr-dev-1.7-r1.apk
2024-10-25 21:03
859K
simgear-2024.1.1-r0.apk
2025-03-05 01:17
2.2M
simgear-dev-2024.1.1-r0.apk
2025-03-05 01:17
404K
simh-3.11.1-r1.apk
2024-10-25 21:03
3.0M
simp1e-cursors-0_git20250312-r0.apk
2025-03-13 13:27
447K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-13 13:27
478K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-13 13:27
482K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
485K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-13 13:27
483K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-13 13:27
504K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-13 13:27
520K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
526K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-13 13:27
509K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-13 13:27
535K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-13 13:27
547K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-13 13:27
528K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-13 13:27
537K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-13 13:27
533K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-13 13:27
544K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-13 13:27
530K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-13 13:27
540K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-13 13:27
484K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
490K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-13 13:27
14K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-13 13:27
525K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
537K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-13 13:27
517K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-13 13:27
527K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-13 13:27
449K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-13 13:27
487K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
492K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-13 13:27
450K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-13 13:27
451K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-13 13:27
536K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
549K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-13 13:27
518K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-13 13:27
525K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-13 13:27
533K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-13 13:27
538K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-13 13:27
551K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-13 13:27
545K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-13 13:27
538K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-13 13:27
550K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-13 13:27
524K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-13 13:27
535K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-13 13:27
531K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-13 13:27
542K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-13 13:27
530K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-13 13:27
541K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-13 13:27
520K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-13 13:27
527K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-13 13:27
534K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-13 13:27
546K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-13 13:27
529K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-13 13:27
540K
simpleble-0.8.1-r0.apk
2025-02-25 23:20
1.2K
simpleble-dev-0.8.1-r0.apk
2025-02-25 23:20
24K
simpleiot-0.14.3-r9.apk
2025-04-13 23:32
11M
sing-box-1.11.7-r1.apk
2025-04-13 23:32
12M
sing-box-bash-completion-1.11.7-r1.apk
2025-04-13 23:32
5.1K
sing-box-fish-completion-1.11.7-r1.apk
2025-04-13 23:32
4.4K
sing-box-openrc-1.11.7-r1.apk
2025-04-13 23:32
2.1K
sing-box-zsh-completion-1.11.7-r1.apk
2025-04-13 23:32
4.1K
sing-geoip-20250312-r0.apk
2025-03-12 13:13
2.1M
sing-geosite-20250407044718-r0.apk
2025-04-10 16:09
1.1M
singular-4.4.1-r1.apk
2025-03-25 13:07
10M
singular-dev-4.4.1-r1.apk
2025-03-25 13:07
364K
singular-doc-4.4.1-r1.apk
2025-03-25 13:07
1.3M
singular-emacs-4.4.1-r1.apk
2025-03-25 13:07
101K
singular-static-4.4.1-r1.apk
2025-03-25 13:07
5.4M
sipexer-1.2.0-r2.apk
2025-04-13 23:32
2.9M
sipgrep-2.2.0-r1.apk
2024-10-25 21:03
25K
siril-1.2.6-r0.apk
2025-02-02 04:03
2.9M
siril-doc-1.2.6-r0.apk
2025-02-02 04:03
18K
siril-lang-1.2.6-r0.apk
2025-02-02 04:03
1.6M
sish-2.16.1-r4.apk
2025-04-13 23:32
8.3M
sish-openrc-2.16.1-r4.apk
2025-04-13 23:32
1.9K
slidge-0.1.3-r0.apk
2024-10-25 21:03
145K
slidge-doc-0.1.3-r0.apk
2024-10-25 21:03
4.5K
slidge-matridge-0.1.0-r0.apk
2024-10-25 21:03
30K
slidge-matridge-openrc-0.1.0-r0.apk
2024-10-25 21:03
1.5K
slidge-matridge-pyc-0.1.0-r0.apk
2024-10-25 21:03
38K
slidge-openrc-0.1.3-r0.apk
2024-10-25 21:03
2.3K
slidge-pyc-0.1.3-r0.apk
2024-10-25 21:03
285K
slimcc-0_git20250408-r0.apk
2025-04-18 19:35
125K
sloccount-2.26-r3.apk
2024-10-25 21:03
62K
sloccount-doc-2.26-r3.apk
2024-10-25 21:03
59K
slurm-0.4.4-r0.apk
2024-10-25 21:03
14K
slurm-doc-0.4.4-r0.apk
2024-10-25 21:03
2.3K
smassh-3.1.6-r0.apk
2024-11-21 03:57
72K
smassh-pyc-3.1.6-r0.apk
2024-11-21 03:57
70K
smile-2.10.1-r0.apk
2025-04-09 04:05
721K
smile-lang-2.10.1-r0.apk
2025-04-09 04:05
25K
smplxmpp-0.9.3-r4.apk
2024-12-18 13:39
147K
smplxmpp-doc-0.9.3-r4.apk
2024-12-18 13:39
25K
snapper-0.12.1-r0.apk
2025-02-22 15:39
951K
snapper-bash-completion-0.12.1-r0.apk
2025-02-22 15:39
3.0K
snapper-dev-0.12.1-r0.apk
2025-02-22 15:39
10K
snapper-doc-0.12.1-r0.apk
2025-02-22 15:39
25K
snapper-lang-0.12.1-r0.apk
2025-02-22 15:39
196K
snapper-zsh-completion-0.12.1-r0.apk
2025-02-22 15:39
3.5K
snapraid-12.3-r0.apk
2024-10-25 21:03
265K
snapraid-doc-12.3-r0.apk
2024-10-25 21:03
17K
snapweb-0.7.0-r0.apk
2024-10-25 21:03
385K
sndfile-tools-1.5-r1.apk
2024-10-25 21:03
39K
sndfile-tools-doc-1.5-r1.apk
2024-10-25 21:03
361K
snikket-sdk-0_git20250120-r0.apk
2025-03-22 15:35
3.3M
snippets-ls-0.0.4_git20240617-r4.apk
2025-04-13 23:32
1.4M
snore-0.3.1-r0.apk
2024-10-25 21:03
4.4K
snore-doc-0.3.1-r0.apk
2024-10-25 21:03
3.1K
so-0.4.10-r0.apk
2024-10-25 21:03
2.0M
soapy-bladerf-0.4.2-r0.apk
2024-12-31 11:53
47K
soapy-hackrf-0.3.4-r2.apk
2024-10-25 21:03
28K
soapy-sdr-remote-0.5.2-r1.apk
2024-10-25 21:03
195K
soapy-sdr-remote-doc-0.5.2-r1.apk
2024-10-25 21:03
2.4K
soapy-sdr-remote-openrc-0.5.2-r1.apk
2024-10-25 21:03
1.7K
solanum-6.0.0-r0.apk
2025-04-10 15:52
249K
solanum-lang-6.0.0-r0.apk
2025-04-10 15:52
47K
solarus-engine-1.7.0-r1.apk
2025-02-22 15:39
2.1M
solarus-engine-doc-1.7.0-r1.apk
2025-02-22 15:39
3.3K
solarus-quest-editor-1.7.0-r1.apk
2025-02-22 15:39
55M
somebar-1.0.3-r0.apk
2024-10-25 21:03
43K
somebar-doc-1.0.3-r0.apk
2024-10-25 21:03
2.4K
sonar-scanner-6.2.1.4610-r0.apk
2024-12-20 21:12
11M
sonarr-4.0.14.2939-r0.apk
2025-03-21 17:21
28M
sonarr-openrc-4.0.14.2939-r0.apk
2025-03-21 17:21
2.0K
sonicradio-0.6.13-r1.apk
2025-04-13 23:32
3.2M
sopwith-2.5.0-r0.apk
2024-10-25 21:03
47K
sopwith-doc-2.5.0-r0.apk
2024-10-25 21:03
15K
soqt-1.6.3-r0.apk
2024-12-13 21:44
218K
soqt-dev-1.6.3-r0.apk
2024-12-13 21:44
84K
soqt-doc-1.6.3-r0.apk
2024-12-13 21:44
881K
sos-0.8-r30.apk
2025-04-13 23:32
2.8M
soundconverter-4.0.6-r0.apk
2024-11-12 16:33
165K
soundconverter-doc-4.0.6-r0.apk
2024-11-12 16:33
4.5K
soundconverter-lang-4.0.6-r0.apk
2024-11-12 16:33
107K
soundconverter-pyc-4.0.6-r0.apk
2024-11-12 16:33
75K
sourcegit-8.33-r0.apk
2024-10-25 21:03
26M
spacectl-1.9.0-r2.apk
2025-04-13 23:32
5.5M
spacectl-bash-completion-1.9.0-r2.apk
2025-04-13 23:32
2.1K
spacectl-doc-1.9.0-r2.apk
2025-04-13 23:32
2.3K
spacectl-fish-completion-1.9.0-r2.apk
2025-04-13 23:32
6.8K
spacectl-zsh-completion-1.9.0-r2.apk
2025-04-13 23:32
1.8K
spacenavd-1.2-r0.apk
2024-10-25 21:03
32K
spacer-0.3.8-r0.apk
2025-02-28 07:10
938K
spacer-doc-0.3.8-r0.apk
2025-02-28 07:10
3.0K
spampd-2.62-r0.apk
2025-02-25 23:31
39K
spampd-openrc-2.62-r0.apk
2025-02-25 23:31
2.0K
spark-2.8.3-r1.apk
2024-10-25 21:03
29M
speedcrunch-0.12-r3.apk
2024-10-25 21:03
1.1M
speedtest-5.2.5-r1.apk
2024-10-25 21:03
253K
speedtest-doc-5.2.5-r1.apk
2024-10-25 21:03
18K
speedtest-examples-5.2.5-r1.apk
2024-10-25 21:03
13K
speedtest-go-1.1.5-r14.apk
2025-04-13 23:32
5.6M
speedtest-go-doc-1.1.5-r14.apk
2025-04-13 23:32
4.5K
speedtest-go-openrc-1.1.5-r14.apk
2025-04-13 23:32
1.7K
speedtest_exporter-0.3.2-r14.apk
2025-04-13 23:32
4.0M
speedtest_exporter-openrc-0.3.2-r14.apk
2025-04-13 23:32
1.9K
spice-html5-0.3.0-r1.apk
2024-10-25 21:03
438K
spike-1.1.0-r0.apk
2024-10-25 21:03
1.5M
spin-6.5.2-r1.apk
2024-10-25 21:03
362K
spin-doc-6.5.2-r1.apk
2024-10-25 21:03
5.9K
spiped-1.6.2-r1.apk
2024-10-25 21:03
78K
spiritvnc-0.6.5-r0.apk
2024-11-03 06:09
48K
splitter-0.3.0-r0.apk
2024-10-25 21:03
421K
splitter-doc-0.3.0-r0.apk
2024-10-25 21:03
3.9K
spnavcfg-1.1-r0.apk
2024-10-25 21:03
38K
sponskrub-3.7.2-r8.apk
2024-12-26 18:02
191K
spotify-player-0.20.4-r0.apk
2025-03-02 16:55
4.4M
spotify-tui-0.25.0-r2.apk
2024-10-25 21:03
1.8M
spread-sheet-widget-0.10-r0.apk
2024-10-25 21:03
44K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 21:03
193K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 21:03
363K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 21:03
4.6K
spvm-errno-0.093-r0.apk
2025-01-23 09:22
17K
spvm-errno-doc-0.093-r0.apk
2025-01-23 09:22
5.9K
spvm-math-1.006-r0.apk
2025-02-04 08:32
22K
spvm-math-doc-1.006-r0.apk
2025-02-04 08:32
6.8K
spvm-mime-base64-1.003-r0.apk
2025-02-04 08:32
15K
spvm-mime-base64-doc-1.003-r0.apk
2025-02-04 08:32
5.3K
spvm-thread-0.003-r0.apk
2025-02-04 08:32
11K
spvm-thread-doc-0.003-r0.apk
2025-02-04 08:32
5.8K
sq-0.48.5-r4.apk
2025-04-13 23:32
11M
sq-bash-completion-0.48.5-r4.apk
2025-04-13 23:32
5.0K
sq-doc-0.48.5-r4.apk
2025-04-13 23:32
5.9K
sq-fish-completion-0.48.5-r4.apk
2025-04-13 23:32
4.3K
sq-zsh-completion-0.48.5-r4.apk
2025-04-13 23:32
4.0K
sqawk-0.24.0-r0.apk
2024-10-25 21:03
14K
sqawk-doc-0.24.0-r0.apk
2024-10-25 21:03
113K
sqlar-0_git20180107-r1.apk
2024-10-25 21:03
13K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 21:03
3.3K
sqliteodbc-0.99991-r0.apk
2024-10-25 21:03
87K
sqlmap-1.9.4-r0.apk
2025-04-14 01:26
6.8M
sqlmap-pyc-1.9.4-r0.apk
2025-04-14 01:26
1.2M
sqlx-0.8.5-r0.apk
2025-04-17 22:19
1.7M
sqlx-bash-completion-0.8.5-r0.apk
2025-04-17 22:19
3.0K
sqlx-doc-0.8.5-r0.apk
2025-04-17 22:19
6.0K
sqlx-fish-completion-0.8.5-r0.apk
2025-04-17 22:19
3.5K
sqlx-zsh-completion-0.8.5-r0.apk
2025-04-17 22:19
4.1K
sqm-scripts-1.6.0-r0.apk
2024-10-25 21:03
20K
sqruff-0.25.26-r0.apk
2025-04-13 23:40
2.2M
sqruff-doc-0.25.26-r0.apk
2025-04-13 23:40
8.6K
squeak-vm-4.10.2.2614-r1.apk
2024-10-25 21:03
471K
squeak-vm-doc-4.10.2.2614-r1.apk
2024-10-25 21:03
12K
srain-1.8.0-r0.apk
2024-11-18 20:18
161K
srain-lang-1.8.0-r0.apk
2024-11-18 20:18
35K
srb2-2.2.15-r1.apk
2025-04-10 23:03
1.8M
srb2-data-2.2.15-r1.apk
2025-04-10 23:03
160M
sregex-0.0.1-r1.apk
2024-10-25 21:03
25K
sregex-dev-0.0.1-r1.apk
2024-10-25 21:03
30K
ssdfs-tools-4.09-r0.apk
2024-10-25 21:03
94K
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 21:03
18K
ssh-cert-authority-2.0.0-r25.apk
2025-04-13 23:32
5.1M
ssh-honeypot-0.1.1-r1.apk
2024-10-25 21:03
8.9K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 21:03
2.1K
ssh-tools-1.8-r0.apk
2024-10-25 21:03
26K
sshs-4.6.1-r0.apk
2025-01-23 20:12
712K
sshsrv-1.0-r11.apk
2025-04-13 23:32
1.0M
sshuttle-1.1.2-r0.apk
2024-10-25 21:03
62K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 21:03
8.5K
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 21:03
101K
sssd-2.10.2-r1.apk
2025-03-21 20:32
2.1M
sssd-dev-2.10.2-r1.apk
2025-03-21 20:32
13K
sssd-openrc-2.10.2-r1.apk
2025-03-21 20:32
1.6K
ssss-0.5.7-r0.apk
2024-10-25 21:03
12K
ssss-doc-0.5.7-r0.apk
2024-10-25 21:03
3.3K
sstp-client-1.0.20-r1.apk
2024-12-01 18:08
42K
sstp-client-doc-1.0.20-r1.apk
2024-12-01 18:08
4.8K
stacker-1.0.0-r4.apk
2025-04-13 23:32
30M
stacker-doc-1.0.0-r4.apk
2025-04-13 23:32
15K
stalwart-cli-0.11.6-r0.apk
2025-02-03 22:20
2.1M
stalwart-mail-0.11.6-r0.apk
2025-02-03 22:20
14M
stalwart-mail-openrc-0.11.6-r0.apk
2025-02-03 22:20
2.0K
stardict-3.0.6-r6.apk
2024-10-25 21:03
950K
stardict-doc-3.0.6-r6.apk
2024-10-25 21:03
2.2K
stardict-help-3.0.6-r6.apk
2024-10-25 21:03
3.4M
stardict-lang-3.0.6-r6.apk
2024-10-25 21:03
290K
starfighter-2.4-r0.apk
2024-10-25 21:03
48M
starfighter-doc-2.4-r0.apk
2024-10-25 21:03
22K
startup-2.0.3-r5.apk
2024-10-25 21:03
442K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 21:03
32K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 21:03
32K
startup-dev-2.0.3-r5.apk
2024-10-25 21:03
5.8K
startup-doc-2.0.3-r5.apk
2024-10-25 21:03
48K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 21:03
5.4K
startup-lang-2.0.3-r5.apk
2024-10-25 21:03
17K
startup-tools-2.0.3-r5.apk
2024-10-25 21:03
13K
stayrtr-0.6.2-r2.apk
2025-04-13 23:32
11M
stayrtr-openrc-0.6.2-r2.apk
2025-04-13 23:32
2.0K
steamguard-cli-0.9.6-r0.apk
2024-10-25 21:03
2.2M
steamguard-cli-bash-completion-0.9.6-r0.apk
2024-10-25 21:03
2.5K
steamguard-cli-zsh-completion-0.9.6-r0.apk
2024-10-25 21:03
3.6K
steghide-0.5.1.1-r0.apk
2024-10-25 21:03
146K
steghide-doc-0.5.1.1-r0.apk
2024-10-25 21:03
14K
stern-1.32.0-r3.apk
2025-04-13 23:32
18M
stern-bash-completion-1.32.0-r3.apk
2025-04-13 23:32
5.8K
stern-fish-completion-1.32.0-r3.apk
2025-04-13 23:32
4.3K
stern-zsh-completion-1.32.0-r3.apk
2025-04-13 23:32
4.0K
stgit-2.4.7-r1.apk
2024-10-25 21:03
1.9M
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 21:03
17K
stgit-doc-2.4.7-r1.apk
2024-10-25 21:03
126K
stgit-emacs-2.4.7-r1.apk
2024-10-25 21:03
28K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 21:03
12K
stgit-vim-2.4.7-r1.apk
2024-10-25 21:03
3.5K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 21:03
24K
sthttpd-2.27.1-r2.apk
2024-10-25 21:03
57K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 21:03
18K
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 21:03
2.0K
stockfish-17-r0.apk
2025-03-03 23:40
62M
stone-soup-0.32.1-r0.apk
2024-10-25 21:03
32M
strfry-1.0.4-r0.apk
2025-04-13 23:32
1.6M
strfry-openrc-1.0.4-r0.apk
2025-04-13 23:32
2.0K
stubbyboot-1.0.4-r0.apk
2025-01-10 18:03
3.2K
stubbyboot-efistub-1.0.4-r0.apk
2025-01-10 18:03
26K
sturmreader-3.7.2-r1.apk
2025-01-29 21:02
1.0M
sturmreader-lang-3.7.2-r1.apk
2025-01-29 21:02
39K
stw-0.3-r0.apk
2024-10-25 21:03
8.1K
stw-doc-0.3-r0.apk
2024-10-25 21:03
2.5K
subdl-0_git20230616-r1.apk
2024-10-25 21:03
8.7K
subdl-pyc-0_git20230616-r1.apk
2024-10-25 21:03
14K
sublime-music-0.12.0-r1.apk
2024-10-25 21:03
190K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 21:03
302K
subliminal-2.2.1-r0.apk
2024-10-25 21:03
68K
subliminal-pyc-2.2.1-r0.apk
2024-10-25 21:03
135K
subtitleeditor-0.54.0-r3.apk
2024-10-25 21:03
1.4M
subtitleeditor-dev-0.54.0-r3.apk
2024-10-25 21:03
1.5K
subtitleeditor-doc-0.54.0-r3.apk
2024-10-25 21:03
2.9K
sudo-ldap-1.9.14-r1.apk
2024-10-25 21:03
711K
supercollider-3.13.0-r6.apk
2025-02-09 00:48
7.7M
supercollider-dev-3.13.0-r6.apk
2025-02-09 00:48
39K
supermin-5.2.2-r2.apk
2024-10-25 21:03
507K
supermin-doc-5.2.2-r2.apk
2024-10-25 21:03
9.4K
supersonik-0.1.0-r2.apk
2025-04-13 23:32
1.0M
surf-2.1-r3.apk
2024-10-25 21:03
21K
surf-doc-2.1-r3.apk
2024-10-25 21:03
4.6K
surfraw-2.3.0-r0.apk
2024-10-25 21:03
79K
surfraw-doc-2.3.0-r0.apk
2024-10-25 21:03
18K
suru-icon-theme-2024.10.13-r0.apk
2025-01-26 01:11
2.9M
svgbob-0.7.6-r0.apk
2025-02-02 01:32
452K
svls-0.2.12-r0.apk
2024-10-25 21:03
3.4M
svls-doc-0.2.12-r0.apk
2024-10-25 21:03
2.2K
svt-hevc-1.5.1-r2.apk
2024-10-25 21:03
31K
svt-hevc-dev-1.5.1-r2.apk
2024-10-25 21:03
10K
svt-hevc-doc-1.5.1-r2.apk
2024-10-25 21:03
5.0K
svt-hevc-libs-1.5.1-r2.apk
2024-10-25 21:03
733K
svt-vp9-0.3.0-r2.apk
2024-10-25 21:03
17K
svt-vp9-dev-0.3.0-r2.apk
2024-10-25 21:03
7.4K
svt-vp9-doc-0.3.0-r2.apk
2024-10-25 21:03
4.8K
svt-vp9-libs-0.3.0-r2.apk
2024-10-25 21:03
515K
swaks-20240103.0-r0.apk
2024-10-25 21:03
66K
swaks-doc-20240103.0-r0.apk
2024-10-25 21:03
50K
swappy-1.5.1-r0.apk
2024-10-25 21:03
30K
swappy-doc-1.5.1-r0.apk
2024-10-25 21:03
3.7K
swappy-lang-1.5.1-r0.apk
2024-10-25 21:03
3.6K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 21:03
9.8K
swayhide-0.2.1-r2.apk
2024-10-25 21:03
255K
swhkd-1.2.1-r0.apk
2024-10-25 21:03
1.1M
swhkd-doc-1.2.1-r0.apk
2024-10-25 21:03
6.2K
swi-prolog-9.2.9-r0.apk
2024-12-21 11:09
4.7M
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 11:09
2.1M
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 11:09
22K
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 11:09
922K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 11:09
1.0M
swig3-3.0.12-r3.apk
2024-10-25 21:03
1.3M
swig3-doc-3.0.12-r3.apk
2024-10-25 21:03
3.6K
sxcs-1.1.0-r0.apk
2024-10-25 21:03
8.1K
sxcs-doc-1.1.0-r0.apk
2024-10-25 21:03
2.6K
sydbox-3.21.3-r0.apk
2024-10-25 21:03
1.4M
sydbox-doc-3.21.3-r0.apk
2024-10-25 21:03
84K
sydbox-oci-3.21.3-r0.apk
2024-10-25 21:03
1.8M
sydbox-utils-3.21.3-r0.apk
2024-10-25 21:03
6.2M
sydbox-vim-3.21.3-r0.apk
2024-10-25 21:03
5.2K
sylpheed-imap-notify-1.1.0-r2.apk
2024-10-25 21:03
7.9K
symbiyosys-0.36-r0.apk
2024-10-25 21:03
38K
symengine-0.12.0-r0.apk
2024-10-25 21:03
2.9M
symlinks-1.4.3-r0.apk
2025-04-21 23:27
5.8K
symlinks-doc-1.4.3-r0.apk
2025-04-21 23:27
3.8K
sympow-2.023.7-r2.apk
2024-10-25 21:03
1.8M
sympow-doc-2.023.7-r2.apk
2024-10-25 21:03
3.1K
synapse-bt-1.0-r4.apk
2024-10-25 21:03
1.1M
synapse-bt-cli-1.0-r4.apk
2024-10-25 21:03
1.0M
synapse-bt-openrc-1.0-r4.apk
2024-10-25 21:03
1.8K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 21:03
440K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 21:03
2.2K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 21:03
221K
systemd-boot-257.3-r2.apk
2025-03-21 17:21
48K
systemd-efistub-257.3-r2.apk
2025-03-21 17:21
83K
t2sz-1.1.2-r0.apk
2024-10-25 21:03
8.8K
tabby-3.1-r1.apk
2024-10-25 21:03
29K
tabby-doc-3.1-r1.apk
2024-10-25 21:03
2.3K
tabiew-0.7.1-r0.apk
2024-11-25 22:49
7.4M
tachyon-0.99_beta6-r1.apk
2024-10-25 21:03
101K
tachyon-scenes-0.99_beta6-r1.apk
2024-10-25 21:03
1.9M
tailspin-3.0.0-r0.apk
2024-10-25 21:03
1.1M
tailspin-bash-completion-3.0.0-r0.apk
2024-10-25 21:03
2.2K
tailspin-doc-3.0.0-r0.apk
2024-10-25 21:03
2.7K
tailspin-fish-completion-3.0.0-r0.apk
2024-10-25 21:03
2.1K
tailspin-zsh-completion-3.0.0-r0.apk
2024-10-25 21:03
2.5K
tang-15-r0.apk
2025-01-20 05:17
15K
tang-dbg-15-r0.apk
2025-01-20 05:17
32K
tang-doc-15-r0.apk
2025-01-20 05:17
21K
tang-openrc-15-r0.apk
2025-01-20 05:17
1.9K
tangara-companion-0.4.3-r0.apk
2024-12-17 21:29
1.0M
tangctl-0_git20241007-r3.apk
2025-04-13 23:32
2.7M
tanidvr-1.4.1-r2.apk
2025-03-21 17:21
21K
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-21 17:21
11K
tanka-0.31.3-r1.apk
2025-04-13 23:32
4.7M
tartube-2.5.0-r1.apk
2024-10-25 21:03
2.7M
tartube-pyc-2.5.0-r1.apk
2024-10-25 21:03
1.1M
taskcafe-0.3.6-r12.apk
2025-04-13 23:32
14M
taskcafe-openrc-0.3.6-r12.apk
2025-04-13 23:32
1.8K
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 11:24
1.2M
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 11:24
2.0K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 11:24
3.9K
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 11:24
1.7K
tauri-cli-2.4.0-r0.apk
2025-04-03 02:12
7.3M
tayga-0.9.2-r0.apk
2024-10-25 21:03
23K
tayga-doc-0.9.2-r0.apk
2024-10-25 21:03
5.6K
tcc-0.9.27_git20250106-r0.apk
2025-02-02 04:02
10K
tcc-dev-0.9.27_git20250106-r0.apk
2025-02-02 04:02
49K
tcc-doc-0.9.27_git20250106-r0.apk
2025-02-02 04:02
50K
tcc-libs-0.9.27_git20250106-r0.apk
2025-02-02 04:02
137K
tcc-libs-static-0.9.27_git20250106-r0.apk
2025-02-02 04:02
11K
tcl-curl-7.22.0-r0.apk
2024-10-25 21:03
32K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 21:03
38K
tcl9-9.0.1-r0.apk
2024-12-22 07:15
1.8M
tcl9-dev-9.0.1-r0.apk
2024-12-22 07:15
183K
tcl9-doc-9.0.1-r0.apk
2024-12-22 07:15
1.4M
tcmalloc-2.16-r1.apk
2025-04-06 20:36
107K
tcmalloc-debug-2.16-r1.apk
2025-04-06 20:36
114K
tcmalloc-minimal-2.16-r1.apk
2025-04-06 20:36
59K
tcmalloc-minimal-debug-2.16-r1.apk
2025-04-06 20:36
66K
tcmalloc-profiler-2.16-r1.apk
2025-04-06 20:36
115K
tcmu-runner-1.6.0-r6.apk
2024-10-25 21:03
82K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 21:03
2.5K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 21:03
12K
tdrop-0.5.0-r0.apk
2024-10-25 21:03
12K
tdrop-doc-0.5.0-r0.apk
2024-10-25 21:03
8.9K
tealdeer-1.7.2-r0.apk
2025-03-24 05:33
877K
tealdeer-bash-completion-1.7.2-r0.apk
2025-03-24 05:33
2.0K
tealdeer-fish-completion-1.7.2-r0.apk
2025-03-24 05:33
2.2K
tealdeer-zsh-completion-1.7.2-r0.apk
2025-03-24 05:33
2.3K
teapot-tools-0.4.2-r2.apk
2024-10-25 21:03
2.0M
telegram-bot-api-9.0-r0.apk
2025-04-14 03:40
7.0M
telegram-tdlib-1.8.47-r0.apk
2025-04-14 03:40
7.0M
telegram-tdlib-dev-1.8.47-r0.apk
2025-04-14 03:40
182K
telegram-tdlib-static-1.8.47-r0.apk
2025-04-14 03:40
20M
templ-0.3.850-r1.apk
2025-04-13 23:32
4.9M
tenv-4.2.4-r2.apk
2025-04-13 23:32
9.7M
tenv-bash-completion-4.2.4-r2.apk
2025-04-13 23:32
5.1K
tenv-fish-completion-4.2.4-r2.apk
2025-04-13 23:32
4.3K
tenv-zsh-completion-4.2.4-r2.apk
2025-04-13 23:32
4.0K
tere-1.6.0-r0.apk
2024-10-25 21:03
1.0M
tere-doc-1.6.0-r0.apk
2024-10-25 21:03
14K
termbox-1.1.2-r1.apk
2024-10-25 21:03
11K
termbox-dev-1.1.2-r1.apk
2024-10-25 21:03
5.7K
termbox-static-1.1.2-r1.apk
2024-10-25 21:03
12K
termcolor-2.1.0-r0.apk
2024-10-25 21:03
1.5K
termcolor-dev-2.1.0-r0.apk
2024-10-25 21:03
6.8K
terminalpp-0.8.4-r0.apk
2024-10-25 21:03
411K
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 21:03
56K
termusic-mpv-0.7.11-r0.apk
2024-10-25 21:03
5.9M
tetragon-client-1.1.2-r4.apk
2025-04-13 23:32
21M
tetragon-client-bash-completion-1.1.2-r4.apk
2025-04-13 23:32
5.1K
tetragon-client-fish-completion-1.1.2-r4.apk
2025-04-13 23:32
4.3K
tetragon-client-zsh-completion-1.1.2-r4.apk
2025-04-13 23:32
4.1K
texlab-5.19.0-r0.apk
2024-10-25 21:03
8.6M
texmaker-6.0.0-r0.apk
2024-10-25 21:03
12M
texstudio-4.8.6-r0.apk
2025-02-08 17:24
83M
tfupdate-0.8.2-r5.apk
2025-04-13 23:32
5.0M
tfupdate-doc-0.8.2-r5.apk
2025-04-13 23:32
2.3K
thanos-0.31.0-r12.apk
2025-04-13 23:32
22M
thanos-openrc-0.31.0-r12.apk
2025-04-13 23:32
2.0K
theforceengine-1.09.540-r1.apk
2024-10-25 21:03
6.9M
theforceengine-doc-1.09.540-r1.apk
2024-10-25 21:03
6.3M
thefuck-3.32-r5.apk
2024-10-25 21:03
83K
thefuck-pyc-3.32-r5.apk
2024-10-25 21:03
156K
thelounge-4.4.3-r0.apk
2024-10-25 21:03
28M
thelounge-doc-4.4.3-r0.apk
2024-10-25 21:03
2.3K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 21:03
2.0K
theme.sh-1.1.5-r0.apk
2024-10-25 21:03
39K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 21:03
2.3K
thermald-2.5.8-r0.apk
2024-11-12 12:10
171K
thermald-doc-2.5.8-r0.apk
2024-11-12 12:10
8.6K
thermald-openrc-2.5.8-r0.apk
2024-11-12 12:10
1.7K
throttled-0.10.0-r1.apk
2024-12-15 20:29
15K
throttled-openrc-0.10.0-r1.apk
2024-12-15 20:29
1.6K
throttled-pyc-0.10.0-r1.apk
2024-12-15 20:29
28K
thumbdrives-0.3.2-r2.apk
2024-10-25 21:03
11K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:03
23K
thunarx-python-0.5.2-r2.apk
2024-10-25 21:03
9.3K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 21:03
25K
tick-1.2.2-r0.apk
2025-01-14 02:26
10K
tick-doc-1.2.2-r0.apk
2025-01-14 02:26
5.5K
ticker-4.8.1-r1.apk
2025-04-13 23:32
4.2M
ticker-bash-completion-4.8.1-r1.apk
2025-04-13 23:32
4.6K
ticker-fish-completion-4.8.1-r1.apk
2025-04-13 23:32
3.9K
ticker-zsh-completion-4.8.1-r1.apk
2025-04-13 23:32
3.7K
timeshift-24.06.5-r0.apk
2024-12-05 21:47
473K
timeshift-doc-24.06.5-r0.apk
2024-12-05 21:47
3.1K
timeshift-lang-24.06.5-r0.apk
2024-12-05 21:47
917K
timew-1.4.3-r1.apk
2024-10-25 21:03
252K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 21:03
2.8K
timew-doc-1.4.3-r1.apk
2024-10-25 21:03
53K
timewarrior-1.7.1-r0.apk
2024-10-25 21:03
262K
timewarrior-doc-1.7.1-r0.apk
2024-10-25 21:03
22K
timoni-0.23.0-r4.apk
2025-04-13 23:32
24M
timoni-bash-completion-0.23.0-r4.apk
2025-04-13 23:32
8.0K
timoni-doc-0.23.0-r4.apk
2025-04-13 23:32
338K
timoni-fish-completion-0.23.0-r4.apk
2025-04-13 23:32
4.3K
timoni-zsh-completion-0.23.0-r4.apk
2025-04-13 23:32
4.0K
tintin-2.02.31-r0.apk
2024-10-25 21:03
1.8M
tiny-0.13.0-r0.apk
2025-01-01 19:10
560K
tiny-doc-0.13.0-r0.apk
2025-01-01 19:10
5.5K
tinycbor-0.6.0-r1.apk
2024-10-25 21:03
15K
tinycbor-dev-0.6.0-r1.apk
2024-10-25 21:03
8.4K
tinygltf-2.9.4-r0.apk
2025-01-19 15:15
145K
tinygltf-dev-2.9.4-r0.apk
2025-01-19 15:15
57K
tinymist-0.13.0-r0.apk
2025-02-23 21:39
17M
tinyscheme-1.42-r1.apk
2024-10-25 21:03
58K
tiptop-2.3.1-r2.apk
2024-10-25 21:03
34K
tiptop-doc-2.3.1-r2.apk
2024-10-25 21:03
7.1K
tk9-9.0.1-r0.apk
2024-12-22 07:15
828K
tk9-dev-9.0.1-r0.apk
2024-12-22 07:15
81K
tk9-doc-9.0.1-r0.apk
2024-12-22 07:15
1.3M
tldr-python-client-3.3.0-r0.apk
2024-12-01 18:09
12K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 18:09
3.5K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 18:09
14K
tmate-2.4.0-r4.apk
2024-10-25 21:03
270K
tmate-doc-2.4.0-r4.apk
2024-10-25 21:03
72K
tmpl-0.4.0-r10.apk
2025-04-13 23:32
2.7M
tmpl-doc-0.4.0-r10.apk
2025-04-13 23:32
2.3K
tmpmail-1.2.3-r2.apk
2024-10-25 21:03
7.0K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 21:03
3.2K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 21:03
14K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 21:03
8.4K
tncattach-0.1.9-r1.apk
2024-10-25 21:03
22K
tncattach-doc-0.1.9-r1.apk
2024-10-25 21:03
3.9K
tnef-1.4.18-r0.apk
2024-10-25 21:03
25K
tnef-doc-1.4.18-r0.apk
2024-10-25 21:03
4.2K
toapk-1.0-r0.apk
2024-10-25 21:03
11K
today-6.2.0-r0.apk
2024-10-25 21:03
3.1K
today-doc-6.2.0-r0.apk
2024-10-25 21:03
3.3K
tofutf-0.10.0-r4.apk
2025-04-13 23:32
1.3K
tofutf-agent-0.10.0-r4.apk
2025-04-13 23:32
9.5M
tofutf-agent-openrc-0.10.0-r4.apk
2025-04-13 23:32
2.0K
tofutf-cli-0.10.0-r4.apk
2025-04-13 23:32
8.7M
tofutf-server-0.10.0-r4.apk
2025-04-13 23:32
14M
tofutf-server-openrc-0.10.0-r4.apk
2025-04-13 23:32
2.0K
tomcat9-9.0.104-r0.apk
2025-04-20 07:14
6.7M
tomcat9-admin-9.0.104-r0.apk
2025-04-20 07:14
119K
tomcat9-doc-9.0.104-r0.apk
2025-04-20 07:14
1.5M
tomcat9-examples-9.0.104-r0.apk
2025-04-20 07:14
439K
tomcat9-openrc-9.0.104-r0.apk
2025-04-20 07:14
4.6K
toml2json-1.3.1-r0.apk
2024-10-25 21:03
358K
toml2json-doc-1.3.1-r0.apk
2024-10-25 21:03
3.3K
tonutils-reverse-proxy-0.4.0-r2.apk
2025-04-13 23:32
3.7M
tonutils-reverse-proxy-doc-0.4.0-r2.apk
2025-04-13 23:32
3.2K
tootik-0.15.6-r0.apk
2025-04-13 23:32
4.2M
tootik-openrc-0.15.6-r0.apk
2025-04-13 23:32
3.1K
topgit-0.19.13-r1.apk
2024-10-25 21:03
127K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 21:03
4.0K
topgit-doc-0.19.13-r1.apk
2024-10-25 21:03
73K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 21:03
364K
toss-1.1-r0.apk
2024-10-25 21:03
11K
toybox-0.8.11-r1.apk
2024-10-25 21:03
267K
tpm2-pkcs11-1.9.1-r0.apk
2024-10-25 21:03
130K
tpm2-pkcs11-dev-1.9.1-r0.apk
2024-10-25 21:03
1.9K
tpm2-pkcs11-pyc-1.9.1-r0.apk
2024-10-25 21:03
69K
tpp-bypass-0.8.4-r0.apk
2024-10-25 21:03
13K
trace-cmd-3.3.1-r1.apk
2025-01-19 15:15
164K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-19 15:15
3.3K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-19 15:15
508K
trace-cmd-doc-3.3.1-r1.apk
2025-01-19 15:15
171K
transito-0.9.1-r5.apk
2025-04-13 23:32
8.5M
transito-doc-0.9.1-r5.apk
2025-04-13 23:32
755K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 21:03
145K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 21:03
4.2K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 21:03
106K
trantor-1.5.18-r0.apk
2024-10-25 21:03
229K
trantor-dev-1.5.18-r0.apk
2024-10-25 21:03
34K
trantor-doc-1.5.18-r0.apk
2024-10-25 21:03
2.6K
tre-0.8.0-r2.apk
2024-10-25 21:03
27K
tre-dev-0.8.0-r2.apk
2024-10-25 21:03
5.2K
tre-static-0.8.0-r2.apk
2024-10-25 21:03
28K
tree-sitter-c-sharp-0.23.1-r1.apk
2025-02-26 18:29
345K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 21:03
70K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 21:03
2.3K
tree-sitter-clojure-0.0.12-r0.apk
2024-10-25 21:03
23K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-11 12:19
99K
tree-sitter-git-commit-0_git20211225-r3.apk
2025-02-26 18:29
13K
tree-sitter-git-diff-0_git20230730-r0.apk
2024-10-25 21:03
9.8K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-11 12:19
5.1K
tree-sitter-gleam-1.0.0-r0.apk
2024-10-25 21:03
42K
tree-sitter-hare-0_git20230616-r1.apk
2024-10-25 21:03
33K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 17:06
284K
tree-sitter-hcl-1.1.0-r1.apk
2024-10-25 21:03
42K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 21:03
14K
tree-sitter-kotlin-0.3.8-r0.apk
2024-10-25 21:03
319K
tree-sitter-make-0_git20211216-r2.apk
2024-10-25 21:03
41K
tree-sitter-nix-0.0.2-r0.apk
2025-02-02 04:15
22K
tree-sitter-nix-doc-0.0.2-r0.apk
2025-02-02 04:15
2.2K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 21:03
82K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 21:03
2.3K
tree-sitter-php-0.23.12-r0.apk
2025-03-21 17:21
85K
tree-sitter-ron-0.2.0-r0.apk
2024-10-25 21:03
28K
tree-sitter-scheme-0.23.0-r0.apk
2024-10-25 21:03
24K
tree-sitter-scheme-doc-0.23.0-r0.apk
2024-10-25 21:03
2.2K
tree-sitter-ssh-client-config-2025.4.17-r0.apk
2025-04-17 20:46
82K
tree-sitter-xml-0.7.0-r0.apk
2024-11-14 14:11
33K
tree-sitter-xml-doc-0.7.0-r0.apk
2024-11-14 14:11
2.2K
tree-sitter-yaml-0.7.0-r0.apk
2025-04-13 23:32
37K
tree-sitter-yaml-doc-0.7.0-r0.apk
2025-04-13 23:32
2.3K
treecat-1.0.2_git20240706-r1.apk
2024-11-29 14:19
112K
treecat-doc-1.0.2_git20240706-r1.apk
2024-11-29 14:19
3.3K
tremc-0.9.3-r0.apk
2024-10-25 21:03
48K
tremc-bash-completion-0.9.3-r0.apk
2024-10-25 21:03
1.7K
tremc-doc-0.9.3-r0.apk
2024-10-25 21:03
2.6K
tremc-zsh-completion-0.9.3-r0.apk
2024-10-25 21:03
1.6K
trigger-rally-0.6.7-r3.apk
2025-03-25 16:13
302K
trigger-rally-data-0.6.7-r3.apk
2025-03-25 16:13
352M
trigger-rally-doc-0.6.7-r3.apk
2025-03-25 16:13
28K
trippy-0.12.2-r0.apk
2025-01-04 23:22
1.8M
trippy-bash-completion-0.12.2-r0.apk
2025-01-04 23:22
3.1K
trippy-zsh-completion-0.12.2-r0.apk
2025-01-04 23:22
4.7K
trivy-0.61.1-r0.apk
2025-04-20 22:12
66M
tsung-1.8.0-r2.apk
2024-10-25 21:03
730K
ttfautohint-1.8.4-r0.apk
2024-10-25 21:03
27K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 21:03
153K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 21:03
8.1K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 21:03
57K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 21:03
102K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 21:03
8.3K
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 21:03
3.1K
tty-proxy-0.0.2-r27.apk
2025-04-13 23:32
2.7M
tty-share-2.4.0-r17.apk
2025-04-13 23:32
3.9M
ttyper-1.6.0-r0.apk
2025-02-05 22:45
596K
tui-journal-0.10.0-r0.apk
2024-10-25 21:03
1.9M
tui-journal-doc-0.10.0-r0.apk
2024-10-25 21:03
6.9K
tuned-2.25.1-r1.apk
2025-03-21 17:21
159K
tuned-bash-completion-2.25.1-r1.apk
2025-03-21 17:21
1.9K
tuned-doc-2.25.1-r1.apk
2025-03-21 17:21
79K
tuned-gtk-2.25.1-r1.apk
2025-03-21 17:21
22K
tuned-openrc-2.25.1-r1.apk
2025-03-21 17:21
1.6K
tuned-ppd-2.25.1-r1.apk
2025-03-21 17:21
3.6K
tuned-ppd-openrc-2.25.1-r1.apk
2025-03-21 17:21
1.7K
tuned-profiles-2.25.1-r1.apk
2025-03-21 17:21
8.1K
tuned-profiles-compat-2.25.1-r1.apk
2025-03-21 17:21
3.5K
tuned-pyc-2.25.1-r1.apk
2025-03-21 17:21
333K
tuned-utils-2.25.1-r1.apk
2025-03-21 17:21
11K
tup-0.7.11-r0.apk
2024-10-25 21:03
233K
tup-doc-0.7.11-r0.apk
2024-10-25 21:03
21K
tup-vim-0.7.11-r0.apk
2024-10-25 21:03
2.6K
tuptime-5.2.4-r1.apk
2024-11-29 02:09
14K
tuptime-doc-5.2.4-r1.apk
2024-11-29 02:09
3.7K
tuptime-openrc-5.2.4-r1.apk
2024-11-29 02:09
1.7K
turn-rs-3.4.0-r0.apk
2025-03-15 23:58
574K
turn-rs-doc-3.4.0-r0.apk
2025-03-15 23:58
11K
turn-rs-openrc-3.4.0-r0.apk
2025-03-15 23:58
1.9K
turnstile-0.1.10-r3.apk
2024-10-25 21:03
37K
turnstile-doc-0.1.10-r3.apk
2024-10-25 21:03
5.7K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 21:03
1.8K
twemproxy-0.5.0-r0.apk
2024-10-25 21:03
66K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 21:03
17K
twiggy-0.6.0-r3.apk
2024-10-25 21:03
796K
twinkle-1.10.3-r3.apk
2025-01-04 22:55
2.4M
twinkle-doc-1.10.3-r3.apk
2025-01-04 22:55
3.5K
typlite-0.13.0-r0.apk
2025-02-23 21:39
13M
typos-1.30.2-r0.apk
2025-04-06 20:36
2.4M
typos-doc-1.30.2-r0.apk
2025-04-06 20:36
6.0K
typos-lsp-0.1.36-r0.apk
2025-04-04 08:07
2.5M
typos-lsp-doc-0.1.36-r0.apk
2025-04-04 08:07
2.2K
typstyle-0.12.14-r0.apk
2025-01-12 15:14
505K
u1db-qt-0.1.8-r0.apk
2024-10-25 21:03
95K
uasm-2.56.2-r0.apk
2024-10-25 21:03
271K
ubase-20200605-r3.apk
2024-10-25 21:03
43K
ubase-doc-20200605-r3.apk
2024-10-25 21:03
21K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 21:03
16K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 21:03
2.3K
uclient-20210514-r0.apk
2024-10-25 21:03
13K
uclient-dev-20210514-r0.apk
2024-10-25 21:03
3.2K
uclient-fetch-20210514-r0.apk
2024-10-25 21:03
9.6K
udpt-3.1.2-r0.apk
2024-10-25 21:03
695K
udpt-openrc-3.1.2-r0.apk
2024-10-25 21:03
1.8K
ueberzug-18.3.1-r0.apk
2025-02-22 16:08
64K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 16:08
64K
uhttpd-0_git20250406-r0.apk
2025-04-21 13:26
26K
uhttpd-mod-lua-0_git20250406-r0.apk
2025-04-21 13:26
5.3K
uhttpd-mod-tls-0_git20250406-r0.apk
2025-04-21 13:26
1.3K
uhttpd-mod-ucode-0_git20250406-r0.apk
2025-04-21 13:26
6.4K
uhttpd-openrc-0_git20250406-r0.apk
2025-04-21 13:26
4.1K
ukify-257.3-r2.apk
2025-03-21 17:21
21K
ukify-kernel-hook-257.3-r2.apk
2025-03-21 17:21
3.5K
undock-0.9.0-r4.apk
2025-04-13 23:32
10M
unicorn-2.0.1-r4.apk
2024-10-25 21:03
6.8M
unicorn-dev-2.0.1-r4.apk
2024-10-25 21:03
8.1M
unit-php81-1.34.2-r0.apk
2025-03-04 23:43
30K
up-0.4-r28.apk
2025-04-13 23:32
1.2M
upterm-0.14.3-r4.apk
2025-04-13 23:32
6.2M
upterm-bash-completion-0.14.3-r4.apk
2025-04-13 23:32
5.5K
upterm-doc-0.14.3-r4.apk
2025-04-13 23:32
6.4K
upterm-server-0.14.3-r4.apk
2025-04-13 23:32
6.1M
upterm-server-openrc-0.14.3-r4.apk
2025-04-13 23:32
1.9K
upterm-zsh-completion-0.14.3-r4.apk
2025-04-13 23:32
4.0K
uranium-5.2.2-r3.apk
2024-10-25 21:03
596K
urlwatch-2.28-r2.apk
2024-10-25 21:03
49K
urlwatch-doc-2.28-r2.apk
2024-10-25 21:03
33K
urlwatch-pyc-2.28-r2.apk
2024-10-25 21:03
101K
usbmuxd-1.1.1-r9.apk
2025-04-18 16:39
33K
usbmuxd-doc-1.1.1-r9.apk
2025-04-18 16:39
3.0K
usbmuxd-udev-1.1.1-r9.apk
2025-04-18 16:39
2.0K
usbtop-1.0-r0.apk
2025-04-13 23:32
13K
usql-0.15.6-r12.apk
2025-04-13 23:32
31M
ustr-1.0.4-r1.apk
2024-10-25 21:03
60K
ustr-debug-1.0.4-r1.apk
2024-10-25 21:03
78K
ustr-dev-1.0.4-r1.apk
2024-10-25 21:03
91K
ustr-doc-1.0.4-r1.apk
2024-10-25 21:03
97K
ustr-static-1.0.4-r1.apk
2024-10-25 21:03
165K
ustream-ssl-20220116-r1.apk
2024-10-25 21:03
7.2K
ustream-ssl-dev-20220116-r1.apk
2024-10-25 21:03
2.6K
utop-2.9.1-r4.apk
2024-10-25 21:03
13M
utop-common-2.9.1-r4.apk
2024-10-25 21:03
2.0K
utop-doc-2.9.1-r4.apk
2024-10-25 21:03
5.7K
utop-emacs-2.9.1-r4.apk
2024-10-25 21:03
13K
utop-full-2.9.1-r4.apk
2024-10-25 21:03
13M
uucp-1.07-r6.apk
2024-10-25 21:03
487K
uucp-doc-1.07-r6.apk
2024-10-25 21:03
118K
uxn-1.0-r0.apk
2024-10-25 21:03
40K
uxn-doc-1.0-r0.apk
2024-10-25 21:03
4.2K
uxplay-1.71.1-r0.apk
2025-01-25 17:15
217K
uxplay-doc-1.71.1-r0.apk
2025-01-25 17:15
4.3K
vals-0.39.4-r1.apk
2025-04-13 23:32
30M
varnish-modules-0.24.0-r0.apk
2024-10-25 21:03
39K
varnish-modules-doc-0.24.0-r0.apk
2024-10-25 21:03
21K
vbindiff-3.0_beta5-r1.apk
2024-10-25 21:03
20K
vbindiff-doc-3.0_beta5-r1.apk
2024-10-25 21:03
5.5K
vcdimager-2.0.1-r5.apk
2025-01-22 11:33
475K
vcdimager-dev-2.0.1-r5.apk
2025-01-22 11:33
119K
vcdimager-doc-2.0.1-r5.apk
2025-01-22 11:33
74K
vcmi-1.6.7-r0.apk
2025-03-01 03:45
13M
vcsh-2.0.5-r0.apk
2024-10-25 21:03
8.8K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 21:03
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 21:03
27K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 21:03
2.9K
vcstool-0.3.0-r5.apk
2024-10-25 21:03
35K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 21:03
1.8K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 21:03
58K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 21:03
1.6K
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 21:03
1.7K
vdr-plugin-dvbapi-2.2.5-r0.apk
2024-10-25 21:03
61K
vdr-plugin-dvbapi-lang-2.2.5-r0.apk
2024-10-25 21:03
2.5K
vector-0.39.0-r0.apk
2024-10-25 21:03
19M
vector-doc-0.39.0-r0.apk
2024-10-25 21:03
5.8K
vector-openrc-0.39.0-r0.apk
2024-10-25 21:03
2.2K
vectoroids-1.1.0-r2.apk
2024-10-25 21:03
282K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 21:03
2.3K
vera++-1.3.0-r10.apk
2024-10-25 21:03
247K
vfd-configurations-0_git20230612-r0.apk
2024-10-25 21:03
25K
vice-3.9-r0.apk
2025-02-24 22:28
13M
vice-doc-3.9-r0.apk
2025-02-24 22:28
2.2M
vidcutter-6.0.5.3-r0.apk
2024-10-25 21:03
2.8M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 21:03
24K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 21:03
1.9M
video-trimmer-0.9.0-r0.apk
2024-10-25 21:03
776K
video-trimmer-lang-0.9.0-r0.apk
2024-10-25 21:03
91K
viewnior-1.8-r1.apk
2024-10-25 21:03
72K
viewnior-doc-1.8-r1.apk
2024-10-25 21:03
2.1K
viewnior-lang-1.8-r1.apk
2024-10-25 21:03
85K
vile-9.8z_p1-r0.apk
2025-03-21 17:21
780K
vile-common-9.8z_p1-r0.apk
2025-03-21 17:21
354K
vile-doc-9.8z_p1-r0.apk
2025-03-21 17:21
357K
vim-airline-0.11-r0.apk
2024-10-25 21:03
86K
vim-airline-doc-0.11-r0.apk
2024-10-25 21:03
12K
vim-nerdtree-7.1.3-r0.apk
2025-03-04 22:04
67K
vim-rust-305-r0.apk
2024-10-25 21:03
56K
vinegar-1.8.1-r2.apk
2025-04-13 23:32
3.8M
virtctl-1.5.0-r1.apk
2025-04-13 23:32
15M
virtctl-bash-completion-1.5.0-r1.apk
2025-04-13 23:32
5.1K
virtctl-fish-completion-1.5.0-r1.apk
2025-04-13 23:32
4.3K
virtctl-zsh-completion-1.5.0-r1.apk
2025-04-13 23:32
4.0K
virter-0.28.1-r4.apk
2025-04-13 23:32
6.1M
virter-bash-completion-0.28.1-r4.apk
2025-04-13 23:32
5.1K
virter-doc-0.28.1-r4.apk
2025-04-13 23:32
15K
virter-fish-completion-0.28.1-r4.apk
2025-04-13 23:32
4.3K
virter-zsh-completion-0.28.1-r4.apk
2025-04-13 23:32
4.1K
virtualbox-6.1.50-r0.apk
2024-10-25 21:03
39M
virtualbox-modules-vboxdrv-6.1.50-r0.apk
2024-10-25 21:03
869K
virtualbox-modules-vboxnetadp-6.1.50-r0.apk
2024-10-25 21:03
470K
virtualbox-modules-vboxnetflt-6.1.50-r0.apk
2024-10-25 21:03
456K
virtualgl-3.1.3-r0.apk
2025-04-21 23:45
1.9M
virtualgl-dev-3.1.3-r0.apk
2025-04-21 23:45
6.1K
virtualgl-doc-3.1.3-r0.apk
2025-04-21 23:45
314K
visidata-2.11.1-r2.apk
2024-10-25 21:03
248K
visidata-doc-2.11.1-r2.apk
2024-10-25 21:03
16K
visidata-pyc-2.11.1-r2.apk
2024-10-25 21:03
497K
visidata-zsh-completion-2.11.1-r2.apk
2024-10-25 21:03
7.2K
vit-2.3.2-r1.apk
2024-10-25 21:03
80K
vit-pyc-2.3.2-r1.apk
2024-10-25 21:03
151K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 21:03
404K
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 21:03
3.1K
vlang-0.4.10-r0.apk
2025-03-27 07:54
29M
vmtouch-1.3.1-r0.apk
2024-10-25 21:03
12K
vmtouch-doc-1.3.1-r0.apk
2024-10-25 21:03
8.0K
voikko-fi-2.5-r0.apk
2024-10-25 21:03
1.6M
volatility3-2.11.0-r0.apk
2025-02-22 15:39
563K
volatility3-doc-2.11.0-r0.apk
2025-02-22 15:39
3.1K
volatility3-pyc-2.11.0-r0.apk
2025-02-22 15:39
1.0M
volumeicon-0.5.1-r1.apk
2024-10-25 21:03
43K
volumeicon-lang-0.5.1-r1.apk
2024-10-25 21:03
3.8K
vscodium-1.98.2.25078-r1.apk
2025-03-24 05:33
26M
vscodium-bash-completion-1.98.2.25078-r1.apk
2025-03-24 05:33
2.1K
vscodium-zsh-completion-1.98.2.25078-r1.apk
2025-03-24 05:33
2.6K
vym-2.9.26-r0.apk
2024-10-25 21:03
2.8M
vym-doc-2.9.26-r0.apk
2024-10-25 21:03
3.4M
w_scan2-1.0.16-r0.apk
2025-02-22 15:39
133K
w_scan2-doc-1.0.16-r0.apk
2025-02-22 15:39
4.1K
wabt-1.0.37-r0.apk
2025-04-06 23:41
4.0M
wabt-doc-1.0.37-r0.apk
2025-04-06 23:41
13K
waifu2x-converter-cpp-5.3.4-r8.apk
2025-01-16 23:12
12M
wakeonlan-0.42-r0.apk
2024-10-25 21:03
4.5K
wakeonlan-doc-0.42-r0.apk
2024-10-25 21:03
7.5K
walk-1.13.0-r2.apk
2025-04-13 23:32
3.1M
walk-doc-1.13.0-r2.apk
2025-04-13 23:32
2.2K
walk-sor-0_git20190920-r1.apk
2024-10-25 21:03
5.5K
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 21:03
7.8K
wamrc-2.2.0-r0.apk
2024-12-05 18:32
452K
warp-s3-1.1.1-r1.apk
2025-04-13 23:32
7.2M
warpinator-1.8.8-r1.apk
2025-04-03 02:12
216K
warpinator-lang-1.8.8-r1.apk
2025-04-03 02:12
222K
warpinator-nemo-1.8.8-r1.apk
2025-04-03 02:12
4.1K
warzone2100-4.5.5-r3.apk
2025-04-10 23:03
6.5M
warzone2100-data-4.5.5-r3.apk
2025-04-10 23:03
328M
warzone2100-doc-4.5.5-r3.apk
2025-04-10 23:03
1.5M
warzone2100-lang-4.5.5-r3.apk
2025-04-10 23:03
2.6M
wasm-micro-runtime-2.2.0-r0.apk
2024-12-05 18:32
1.2K
wasmtime-28.0.1-r0.apk
2025-01-19 15:15
6.4M
wasmtime-dev-28.0.1-r0.apk
2025-01-19 15:15
54K
watchbind-0.2.1-r1.apk
2024-10-25 21:04
1.1M
watchbind-doc-0.2.1-r1.apk
2024-10-25 21:04
6.6K
watchdog-5.16-r2.apk
2024-10-25 21:04
42K
watchdog-doc-5.16-r2.apk
2024-10-25 21:04
14K
watchmate-0.5.2-r0.apk
2024-10-25 21:04
2.3M
watershot-0.2.0-r0.apk
2024-10-25 21:04
1.7M
way-displays-1.14.0-r0.apk
2025-04-20 21:57
104K
way-displays-doc-1.14.0-r0.apk
2025-04-20 21:57
4.5K
way-secure-0.2.0-r0.apk
2025-04-19 00:14
196K
way-secure-doc-0.2.0-r0.apk
2025-04-19 00:14
3.0K
waycheck-1.6.0-r0.apk
2025-02-23 23:12
42K
wayfire-0.9.0-r0.apk
2025-02-27 21:17
2.4M
wayfire-dev-0.9.0-r0.apk
2025-02-27 21:17
129K
wayfire-doc-0.9.0-r0.apk
2025-02-27 21:17
3.6K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 21:17
544K
waylevel-1.0.0-r1.apk
2024-10-25 21:04
303K
waynergy-0.0.17-r0.apk
2024-10-25 21:04
46K
wayprompt-0.1.2-r1.apk
2025-03-13 05:31
469K
wayprompt-doc-0.1.2-r1.apk
2025-03-13 05:31
6.0K
wayqt-0.3.0-r0.apk
2025-04-21 23:41
101K
wayqt-dev-0.3.0-r0.apk
2025-04-21 23:41
18K
wazero-1.9.0-r1.apk
2025-04-13 23:32
2.1M
wbg-1.2.0-r0.apk
2024-10-25 21:04
37K
wch-isp-0.4.1-r2.apk
2024-10-25 21:04
10K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 21:04
2.7K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 21:04
1.6K
wcm-0.9.0-r0.apk
2025-02-27 21:17
366K
webhookd-1.20.1-r3.apk
2025-04-13 23:32
3.4M
webhookd-doc-1.20.1-r3.apk
2025-04-13 23:32
2.3K
webhookd-openrc-1.20.1-r3.apk
2025-04-13 23:32
2.3K
weggli-0.2.4-r1.apk
2024-10-25 21:04
823K
welle-cli-2.7-r0.apk
2025-04-07 21:40
305K
welle-io-2.7-r0.apk
2025-04-07 21:40
401K
welle-io-doc-2.7-r0.apk
2025-04-07 21:40
4.0K
wf-config-0.9.0-r0.apk
2025-02-27 21:17
106K
wf-config-dev-0.9.0-r0.apk
2025-02-27 21:17
16K
wf-shell-0.9.0-r0.apk
2025-02-27 21:17
6.1M
wf-shell-dev-0.9.0-r0.apk
2025-02-27 21:17
1.7K
wf-shell-doc-0.9.0-r0.apk
2025-02-27 21:17
3.1K
wgcf-2.2.25-r1.apk
2025-04-13 23:32
4.2M
wgcf-bash-completion-2.2.25-r1.apk
2025-04-13 23:32
6.1K
wgcf-fish-completion-2.2.25-r1.apk
2025-04-13 23:32
4.3K
wgcf-zsh-completion-2.2.25-r1.apk
2025-04-13 23:32
4.0K
whatsie-4.16.3-r0.apk
2025-01-12 14:59
15M
whatsie-doc-4.16.3-r0.apk
2025-01-12 14:59
2.2K
whipper-0.10.0-r5.apk
2024-10-25 21:04
113K
whipper-pyc-0.10.0-r5.apk
2024-10-25 21:04
185K
wiimms-iso-tools-3.04a-r1.apk
2024-10-25 21:04
3.6M
wiimms-iso-tools-doc-3.04a-r1.apk
2024-10-25 21:04
65K
wiimms-szs-tools-2.26a-r0.apk
2024-10-25 21:04
5.0M
wiimms-szs-tools-doc-2.26a-r0.apk
2024-10-25 21:04
50K
wiki-tui-0.8.2-r1.apk
2024-10-25 21:04
1.5M
wiki-tui-doc-0.8.2-r1.apk
2024-10-25 21:04
4.6K
wine-mono-9.4.0-r0.apk
2024-12-24 11:05
80M
wine-staging-10.6-r0.apk
2025-04-22 16:41
126M
wine-staging-dev-10.6-r0.apk
2025-04-22 16:41
13M
wine-staging-doc-10.6-r0.apk
2025-04-22 16:41
43K
winetricks-20250102-r0.apk
2025-02-05 23:22
172K
winetricks-bash-completion-20250102-r0.apk
2025-02-05 23:22
8.6K
winetricks-doc-20250102-r0.apk
2025-02-05 23:22
3.7K
wireguard-go-0.0.20230223-r11.apk
2025-04-13 23:32
1.2M
wireguard-go-doc-0.0.20230223-r11.apk
2025-04-13 23:32
3.6K
wiremapper-0.10.0-r0.apk
2024-10-25 21:04
22K
witchery-0.0.3-r2.apk
2024-10-25 21:04
3.2K
wk-adblock-0.0.4-r5.apk
2024-10-25 21:04
166K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 21:04
2.1K
wl-clipboard-x11-5-r3.apk
2024-10-25 21:04
3.4K
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 21:04
2.9K
wl-gammarelay-0.1.1-r13.apk
2025-04-13 23:32
1.7M
wl-ime-type-0.1.1-r0.apk
2025-04-13 23:32
5.8K
wl-ime-type-doc-0.1.1-r0.apk
2025-04-13 23:32
2.2K
wl-screenrec-0.1.7-r0.apk
2025-04-13 23:32
544K
wl-screenrec-bash-completion-0.1.7-r0.apk
2025-04-13 23:32
2.4K
wl-screenrec-doc-0.1.7-r0.apk
2025-04-13 23:32
9.5K
wl-screenrec-fish-completion-0.1.7-r0.apk
2025-04-13 23:32
3.2K
wl-screenrec-zsh-completion-0.1.7-r0.apk
2025-04-13 23:32
3.7K
wlavu-0_git20201101-r1.apk
2024-10-25 21:04
12K
wlclock-1.0.1-r0.apk
2024-10-25 21:04
17K
wlclock-doc-1.0.1-r0.apk
2024-10-25 21:04
3.3K
wlopm-0.1.0-r0.apk
2024-10-25 21:04
6.6K
wlopm-doc-0.1.0-r0.apk
2024-10-25 21:04
2.0K
wlroots0.12-0.12.0-r1.apk
2024-10-25 21:04
244K
wlroots0.12-dbg-0.12.0-r1.apk
2024-10-25 21:04
1.0M
wlroots0.12-dev-0.12.0-r1.apk
2024-10-25 21:04
61K
wlroots0.15-0.15.1-r6.apk
2024-10-25 21:04
282K
wlroots0.15-dbg-0.15.1-r6.apk
2024-10-25 21:04
1.2M
wlroots0.15-dev-0.15.1-r6.apk
2024-10-25 21:04
70K
wlroots0.16-0.16.2-r0.apk
2024-10-28 14:47
331K
wlroots0.16-dbg-0.16.2-r0.apk
2024-10-28 14:47
1.3M
wlroots0.16-dev-0.16.2-r0.apk
2024-10-28 14:47
71K
wlroots0.17-0.17.4-r1.apk
2024-11-24 11:45
367K
wlroots0.17-dbg-0.17.4-r1.apk
2024-11-24 11:45
1.4M
wlroots0.17-dev-0.17.4-r1.apk
2024-11-24 11:45
77K
wmctrl-1.07-r1.apk
2024-10-25 21:04
14K
wmctrl-doc-1.07-r1.apk
2024-10-25 21:04
5.1K
wmi-client-1.3.16-r5.apk
2024-10-25 21:04
2.4M
wok-3.0.0-r6.apk
2024-10-25 21:04
157K
wok-doc-3.0.0-r6.apk
2024-10-25 21:04
3.7K
wok-lang-3.0.0-r6.apk
2024-10-25 21:04
16K
wok-pyc-3.0.0-r6.apk
2024-10-25 21:04
119K
wol-0.7.1-r3.apk
2024-10-25 21:04
25K
wol-doc-0.7.1-r3.apk
2024-10-25 21:04
5.5K
wol-lang-0.7.1-r3.apk
2024-10-25 21:04
8.2K
wolfssh-1.4.17-r0.apk
2024-10-25 21:04
134K
wolfssh-dev-1.4.17-r0.apk
2024-10-25 21:04
172K
wordgrinder-0.8-r2.apk
2024-10-25 21:04
463K
wordgrinder-doc-0.8-r2.apk
2024-10-25 21:04
18K
wpa_actiond-1.4-r7.apk
2024-10-25 21:04
10K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 21:04
2.2K
wput-0.6.2-r4.apk
2024-10-25 21:04
39K
wput-doc-0.6.2-r4.apk
2024-10-25 21:04
8.2K
wroomd-0.1.0-r0.apk
2024-10-25 21:04
1.0M
wroomd-openrc-0.1.0-r0.apk
2024-10-25 21:04
1.7K
wshowkeys-1.0-r0.apk
2024-10-25 21:04
15K
wsmancli-2.6.2-r0.apk
2024-10-25 21:04
20K
wsmancli-doc-2.6.2-r0.apk
2024-10-25 21:04
3.7K
wtfutil-0.43.0-r12.apk
2025-04-13 23:32
20M
x11docker-7.6.0-r1.apk
2024-10-25 21:04
113K
x11docker-doc-7.6.0-r1.apk
2024-10-25 21:04
9.4K
xa-2.4.1-r0.apk
2025-02-25 13:36
74K
xa-doc-2.4.1-r0.apk
2025-02-25 13:36
17K
xcape-1.2-r0.apk
2024-10-25 21:04
6.9K
xcape-doc-1.2-r0.apk
2024-10-25 21:04
2.8K
xcompmgr-1.1.9-r0.apk
2024-10-25 21:04
15K
xcompmgr-doc-1.1.9-r0.apk
2024-10-25 21:04
2.6K
xdg-desktop-portal-cosmic-1.0.0_alpha6-r1.apk
2025-03-12 14:49
8.4M
xdg-desktop-portal-hyprland-1.3.3-r0.apk
2024-10-25 21:04
256K
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk
2024-10-25 21:04
2.4K
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 21:04
70K
xed-3.8.2-r0.apk
2025-02-05 23:22
1.1M
xed-dev-3.8.2-r0.apk
2025-02-05 23:22
14K
xed-doc-3.8.2-r0.apk
2025-02-05 23:22
971K
xed-lang-3.8.2-r0.apk
2025-02-05 23:22
2.1M
xed-python-3.8.2-r0.apk
2025-02-05 23:22
24K
xemu-0.8.34-r0.apk
2025-03-12 02:07
4.2M
xendmail-0.4.3-r0.apk
2024-10-25 21:04
1.0M
xendmail-doc-0.4.3-r0.apk
2024-10-25 21:04
2.5K
xf86-video-vboxvideo-1.0.0-r1.apk
2024-10-25 21:04
17K
xf86-video-vboxvideo-doc-1.0.0-r1.apk
2024-10-25 21:04
3.2K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 21:04
38K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 21:04
5.1K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 21:04
87K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 21:04
2.5K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 21:04
59K
xfce4-panel-profiles-1.0.14-r1.apk
2024-10-25 21:04
57K
xfce4-panel-profiles-doc-1.0.14-r1.apk
2024-10-25 21:04
19K
xfce4-panel-profiles-lang-1.0.14-r1.apk
2024-10-25 21:04
44K
xfd-1.1.4-r0.apk
2024-10-25 21:04
14K
xfd-doc-1.1.4-r0.apk
2024-10-25 21:04
4.9K
xgalaga-2.1.1.0-r1.apk
2024-10-25 21:04
311K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 21:04
2.6K
xiccd-0.3.0_git20211219-r1.apk
2024-10-25 21:04
16K
xiccd-doc-0.3.0_git20211219-r1.apk
2024-10-25 21:04
3.3K
xisxwayland-2-r1.apk
2024-10-25 21:04
3.9K
xisxwayland-doc-2-r1.apk
2024-10-25 21:04
2.0K
xkb-switch-1.8.5-r0.apk
2024-10-25 21:04
16K
xkb-switch-doc-1.8.5-r0.apk
2024-10-25 21:04
1.9K
xlhtml-0.5.1-r0.apk
2024-10-25 21:04
11K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 21:04
2.5K
xload-1.1.4-r0.apk
2024-10-25 21:04
7.0K
xload-doc-1.1.4-r0.apk
2024-10-25 21:04
3.2K
xmag-1.0.8-r0.apk
2024-10-25 21:04
16K
xmag-doc-1.0.8-r0.apk
2024-10-25 21:04
4.7K
xml2rfc-3.28.1-r0.apk
2025-04-18 13:01
352K
xml2rfc-pyc-3.28.1-r0.apk
2025-04-18 13:01
407K
xmp-4.2.0-r0.apk
2024-10-25 21:04
22K
xmp-doc-4.2.0-r0.apk
2024-10-25 21:04
5.3K
xmpp-dns-0.2.4-r23.apk
2025-04-13 23:32
1.9M
xmppipe-0.16.0-r1.apk
2024-10-25 21:04
16K
xone-src-0.3_git20230517-r0.apk
2024-10-25 21:04
43K
xonsh-0.19.1-r0.apk
2025-02-05 23:22
585K
xonsh-pyc-0.19.1-r0.apk
2025-02-05 23:22
1.0M
xosview-1.24-r0.apk
2024-10-25 21:04
133K
xosview-doc-1.24-r0.apk
2024-10-25 21:04
13K
xsane-0.999-r2.apk
2024-10-25 21:04
1.5M
xsane-doc-0.999-r2.apk
2024-10-25 21:04
4.3K
xsane-lang-0.999-r2.apk
2024-10-25 21:04
440K
xsecurelock-1.9.0-r1.apk
2024-10-25 21:04
63K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 21:04
18K
xsoldier-1.8-r2.apk
2024-10-25 21:04
67K
xsoldier-doc-1.8-r2.apk
2024-10-25 21:04
2.6K
xtensor-0.25.0-r0.apk
2025-01-15 03:50
267K
xtl-0.7.7-r0.apk
2024-10-25 21:04
111K
xva-img-1.5-r0.apk
2024-10-25 21:04
17K
xvidtune-1.0.4-r0.apk
2024-10-25 21:04
17K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 21:04
4.2K
xvile-9.8z_p1-r0.apk
2025-03-21 17:21
808K
xvkbd-4.1-r2.apk
2024-10-25 21:04
295K
xvkbd-doc-4.1-r2.apk
2024-10-25 21:04
11K
xwayland-satellite-0.5.1-r0.apk
2025-03-03 00:01
840K
xwaylandvideobridge-0.4.0-r1.apk
2024-10-25 21:04
47K
xwaylandvideobridge-lang-0.4.0-r1.apk
2024-10-25 21:04
11K
yaegi-0.16.1-r7.apk
2025-04-13 23:32
7.7M
yamkix-0.10.0-r1.apk
2024-10-25 21:04
14K
yamkix-pyc-0.10.0-r1.apk
2024-10-25 21:04
12K
yarn-berry-4.9.1-r0.apk
2025-04-16 00:37
1.0M
yarr-2.4-r12.apk
2025-04-13 23:32
4.5M
yarr-doc-2.4-r12.apk
2025-04-13 23:32
7.5K
yarr-openrc-2.4-r12.apk
2025-04-13 23:32
2.0K
yaru-common-23.10.0-r2.apk
2025-03-13 07:05
4.5K
yaru-icon-theme-23.10.0-r2.apk
2025-03-13 07:05
35M
yaru-icon-theme-bark-23.10.0-r2.apk
2025-03-13 07:05
1.0M
yaru-icon-theme-blue-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-magenta-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-mate-23.10.0-r2.apk
2025-03-13 07:05
1.2M
yaru-icon-theme-olive-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-prussiangreen-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-purple-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-red-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-sage-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-icon-theme-viridian-23.10.0-r2.apk
2025-03-13 07:05
1.1M
yaru-schemas-23.10.0-r2.apk
2025-03-13 07:05
1.8K
yaru-shell-23.10.0-r2.apk
2025-03-13 07:05
735K
yaru-sounds-23.10.0-r2.apk
2025-03-13 07:05
676K
yaru-theme-23.10.0-r2.apk
2025-03-13 07:05
839K
yaru-theme-bark-23.10.0-r2.apk
2025-03-13 07:05
763K
yaru-theme-blue-23.10.0-r2.apk
2025-03-13 07:05
765K
yaru-theme-hdpi-23.10.0-r2.apk
2025-03-13 07:05
73K
yaru-theme-magenta-23.10.0-r2.apk
2025-03-13 07:05
761K
yaru-theme-mate-23.10.0-r2.apk
2025-03-13 07:05
727K
yaru-theme-olive-23.10.0-r2.apk
2025-03-13 07:05
759K
yaru-theme-prussiangreen-23.10.0-r2.apk
2025-03-13 07:05
760K
yaru-theme-purple-23.10.0-r2.apk
2025-03-13 07:05
756K
yaru-theme-red-23.10.0-r2.apk
2025-03-13 07:05
760K
yaru-theme-sage-23.10.0-r2.apk
2025-03-13 07:05
762K
yaru-theme-viridian-23.10.0-r2.apk
2025-03-13 07:05
760K
yass-2.5.0-r0.apk
2024-10-25 21:04
14M
yazi-25.4.8-r0.apk
2025-04-09 04:05
4.6M
yazi-bash-completion-25.4.8-r0.apk
2025-04-09 04:05
2.1K
yazi-cli-25.4.8-r0.apk
2025-04-09 04:05
1.0M
yazi-doc-25.4.8-r0.apk
2025-04-09 04:05
2.3K
yazi-fish-completion-25.4.8-r0.apk
2025-04-09 04:05
1.9K
yazi-zsh-completion-25.4.8-r0.apk
2025-04-09 04:05
2.2K
ydcv-0.7-r8.apk
2024-10-25 21:04
20K
ydcv-pyc-0.7-r8.apk
2024-10-25 21:04
11K
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 21:04
2.2K
yeti-1.1-r0.apk
2024-10-25 21:04
816K
yices2-2.6.5-r0.apk
2025-03-03 00:05
2.2M
yices2-dev-2.6.5-r0.apk
2025-03-03 00:05
41K
yices2-libs-2.6.5-r0.apk
2025-03-03 00:05
840K
ymuse-0.22-r0.apk
2025-04-14 01:48
3.8M
yodl-4.02.00-r1.apk
2024-10-25 21:04
142K
yodl-doc-4.02.00-r1.apk
2024-10-25 21:04
59K
yoe-kiosk-browser-0_git20231118-r0.apk
2024-10-25 21:04
9.0K
yoshimi-2.3.3.3-r0.apk
2025-03-13 23:33
6.1M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-13 23:33
4.5M
yosys-0.42-r0.apk
2024-10-25 21:04
19M
yosys-dev-0.42-r0.apk
2024-10-25 21:04
119K
youki-0.4.1-r0.apk
2024-10-25 21:04
1.5M
youki-dbg-0.4.1-r0.apk
2024-10-25 21:04
4.6K
youtube-tui-0.8.1-r0.apk
2025-02-27 13:28
1.4M
youtube-viewer-3.11.1-r0.apk
2024-10-25 21:04
83K
youtube-viewer-doc-3.11.1-r0.apk
2024-10-25 21:04
41K
youtube-viewer-gtk-3.11.1-r0.apk
2024-10-25 21:04
171K
ytmdl-2024.08.15.1-r0.apk
2024-10-25 21:04
50K
ytmdl-bash-completion-2024.08.15.1-r0.apk
2024-10-25 21:04
2.2K
ytmdl-pyc-2024.08.15.1-r0.apk
2024-10-25 21:04
78K
ytmdl-zsh-completion-2024.08.15.1-r0.apk
2024-10-25 21:04
2.1K
ytt-0.47.0-r9.apk
2025-04-13 23:32
4.7M
yubikey-agent-0.1.6-r11.apk
2025-04-13 23:32
1.9M
z-1.12-r0.apk
2024-10-25 21:04
4.6K
z-doc-1.12-r0.apk
2024-10-25 21:04
3.9K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 21:04
19M
zapret-0.0.0_git20220125-r1.apk
2024-10-25 21:04
81K
zapret-doc-0.0.0_git20220125-r1.apk
2024-10-25 21:04
98K
zapret-openrc-0.0.0_git20220125-r1.apk
2024-10-25 21:04
2.1K
zaproxy-2.16.1-r0.apk
2025-04-03 02:12
224M
zapzap-6.0.1.8-r0.apk
2025-04-14 01:48
109K
zapzap-pyc-6.0.1.8-r0.apk
2025-04-14 01:48
126K
zarchive-0.1.2-r2.apk
2024-10-25 21:04
16K
zarchive-dev-0.1.2-r2.apk
2024-10-25 21:04
6.8K
zarchive-libs-0.1.2-r2.apk
2024-10-25 21:04
23K
zarf-0.32.1-r9.apk
2025-04-13 23:32
56M
zed-0.138.4-r5.apk
2025-03-14 00:56
33M
zfs-src-2.2.4-r1.apk
2025-01-09 12:46
32M
zfsbootmenu-2.3.0-r1.apk
2024-10-25 21:04
128K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 21:04
16K
zile-2.6.2-r1.apk
2024-10-25 21:04
119K
zile-doc-2.6.2-r1.apk
2024-10-25 21:04
16K
zita-njbridge-0.4.8-r1.apk
2024-10-25 21:04
29K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 21:04
5.2K
zita-resampler-1.11.2-r0.apk
2025-04-14 23:16
18K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 23:16
3.3K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 23:16
4.0K
zlevis-1.3-r0.apk
2025-03-14 18:31
5.2K
znc-backlog-0_git20210503-r8.apk
2024-10-25 21:04
21K
znc-clientbuffer-1.0.48-r8.apk
2024-10-25 21:04
18K
znc-playback-0_git20210503-r8.apk
2024-10-25 21:04
19K
znc-push-0_git20220823-r8.apk
2024-10-25 21:04
90K
zot-2.1.2-r3.apk
2025-04-13 23:32
74M
zot-cli-2.1.2-r3.apk
2025-04-13 23:32
10M
zot-cli-bash-completion-2.1.2-r3.apk
2025-04-13 23:32
5.1K
zot-cli-fish-completion-2.1.2-r3.apk
2025-04-13 23:32
4.3K
zot-cli-zsh-completion-2.1.2-r3.apk
2025-04-13 23:32
4.0K
zot-doc-2.1.2-r3.apk
2025-04-13 23:32
7.7K
zot-exporter-2.1.2-r3.apk
2025-04-13 23:32
5.2M
zot-openrc-2.1.2-r3.apk
2025-04-13 23:32
2.1K
zrepl-0.6.1-r10.apk
2025-04-13 23:32
7.0M
zrepl-bash-completion-0.6.1-r10.apk
2025-04-13 23:32
4.5K
zrepl-openrc-0.6.1-r10.apk
2025-04-13 23:32
1.7K
zrepl-zsh-completion-0.6.1-r10.apk
2025-04-13 23:32
1.9K
zsh-fzf-tab-0_git20220331-r1.apk
2024-10-25 21:04
16K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 21:04
749K
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 21:04
2.9K
zulip-desktop-5.12.0-r1.apk
2025-03-24 05:33
2.2M
zutty-0.16-r0.apk
2025-01-12 22:00
149K
zutty-doc-0.16-r0.apk
2025-01-12 22:00
67K
zvbi-0.2.44-r0.apk
2025-03-12 02:07
170K
zvbi-doc-0.2.44-r0.apk
2025-03-12 02:07
21K
zycore-1.5.0-r0.apk
2024-10-25 21:04
21K
zycore-dev-1.5.0-r0.apk
2024-10-25 21:04
38K
zycore-doc-1.5.0-r0.apk
2024-10-25 21:04
394K
zydis-4.1.0-r0.apk
2024-10-25 21:04
206K
zydis-dev-4.1.0-r0.apk
2024-10-25 21:04
61K
zydis-doc-4.1.0-r0.apk
2024-10-25 21:04
1.6M
Apache/2.4.62 (Debian) Server at ftp.pl.vim.org Port 443